🎉 欢迎访问GreasyFork.Org 镜像站!本镜像站由公众号【爱吃馍】搭建,用于分享脚本。联系邮箱📮

Greasy fork 爱吃馍镜像

Greasy Fork is available in English.

Enhanced Markdown Editor for Standard Notes

Boost Standard Notes with a powerful, unofficial Markdown editor featuring live preview, formatting toolbar, image pasting/uploading with auto-resize, and PDF export. Unused images are auto-cleaned for efficiency. This version features a new architecture for rock-solid sync reliability.

You will need to install an extension such as Tampermonkey, Greasemonkey or Violentmonkey to install this script.

You will need to install an extension such as Tampermonkey to install this script.

You will need to install an extension such as Tampermonkey or Violentmonkey to install this script.

You will need to install an extension such as Tampermonkey or Userscripts to install this script.

You will need to install an extension such as Tampermonkey to install this script.

You will need to install a user script manager extension to install this script.

(I already have a user script manager, let me install it!)

🚀 安装遇到问题?关注公众号获取帮助

公众号二维码

扫码关注【爱吃馍】

回复【脚本】获取最新教程和防失联地址

You will need to install an extension such as Stylus to install this style.

You will need to install an extension such as Stylus to install this style.

You will need to install an extension such as Stylus to install this style.

You will need to install a user style manager extension to install this style.

You will need to install a user style manager extension to install this style.

You will need to install a user style manager extension to install this style.

(I already have a user style manager, let me install it!)

🚀 安装遇到问题?关注公众号获取帮助

公众号二维码

扫码关注【爱吃馍】

回复【脚本】获取最新教程和防失联地址

// ==UserScript==
// @name                 Enhanced Markdown Editor for Standard Notes
// @name:ja              Standard Notes 高機能Markdownエディタ拡張
// @name:en              Enhanced Markdown Editor for Standard Notes
// @name:zh-CN           为Standard Notes增强Markdown编辑器
// @name:zh-TW           為Standard Notes強化Markdown編輯器
// @name:ko              Standard Notes용 고급 Markdown 에디터 확장
// @name:fr              Éditeur Markdown amélioré pour Standard Notes
// @name:es              Editor Markdown mejorado para Standard Notes
// @name:de              Erweiterter Markdown-Editor für Standard Notes
// @name:pt-BR           Editor Markdown avançado para Standard Notes
// @name:ru              Улучшенный редактор Markdown для Standard Notes
// @version              6.5.1
// @description          Boost Standard Notes with a powerful, unofficial Markdown editor featuring live preview, formatting toolbar, image pasting/uploading with auto-resize, and PDF export. Unused images are auto-cleaned for efficiency. This version features a new architecture for rock-solid sync reliability.
// @description:ja       Standard Notesを強化する非公式の高機能Markdownエディタ!ライブプレビュー、装飾ツールバー、画像の貼り付け・アップロード(自動リサイズ)、PDF出力に対応。未使用画像は自動でクリーンアップ。盤石な同期信頼性を実現する新アーキテクチャ版です。
// @description:zh-CN    非官方增强的Markdown编辑器,为Standard Notes添加实时预览、工具栏、自动调整大小的图像粘贴/上传、PDF导出等功能,并自动清理未使用的图像。此版本采用新架构,具有坚如磐石的同步可靠性。
// @description:zh-TW    非官方強化Markdown編輯器,為Standard Notes新增即時預覽、工具列、自動縮放圖片貼上/上傳、PDF匯出等功能,並自動清除未使用圖片。此版本採用新架構,具備堅如磐石的同步可靠性。
// @description:ko       Standard Notes를 위한 강력한 비공식 Markdown 에디터! 실시간 미리보기, 서식 툴바, 이미지 붙여넣기/업로드(자동 리사이즈), PDF 내보내기 지원. 사용하지 않는 이미지는 자동 정리됩니다. 확고한 동기화 신뢰성을 위한 새로운 아키텍처 버전입니다。
// @description:fr       Améliorez Standard Notes avec un éditeur Markdown puissant et non officiel : aperçu en direct, barre d’outils, collage/téléversement d’images redimensionnées automatiquement, export PDF. Nettoyage automatique des images inutilisées. Cette version présente une nouvelle architecture pour une fiabilité de synchronisation à toute épreuve.
// @description:es       Mejora Standard Notes con un potente editor Markdown no oficial: vista previa en vivo, barra de herramientas, pegado/carga de imágenes con redimensionado automático y exportación a PDF. Las imágenes no usadas se eliminan automáticamente. Esta versión presenta una nueva arquitectura para una fiabilidad de sincronización sólida como una roca.
// @description:de       Erweitern Sie Standard Notes mit einem leistungsstarken, inoffiziellen Markdown-Editor: Live-Vorschau, Formatierungsleiste, Bild-Einfügen/-Hochladen mit automatischer Größenanpassung und PDF-Export. Nicht verwendete Bilder werden automatisch bereinigt. Diese Version verfügt über eine neue Architektur für eine absolut zuverlässige Synchronisierung.
// @description:pt-BR    Potencialize o Standard Notes com um editor Markdown poderoso e não oficial: visualização ao vivo, barra de formatação, colagem/envio de imagens com redimensionamento automático e exportação para PDF. Imagens não utilizadas são removidas automaticamente. Esta versão apresenta uma nova arquitetura para uma confiabilidade de sincronização sólida.
// @description:ru       Улучшите Standard Notes с помощью мощного неофициального редактора Markdown: живая превью, панель форматирования, вставка/загрузка изображений с автоизменением размера и экспорт в PDF. Неиспользуемые изображения автоматически удаляются. Эта версия имеет новую архитектуру для надежной синхронизации.
// @namespace            https://github.com/koyasi777/standardnotes-markdown-enhancer
// @author               koyasi777
// @match                https://app.standardnotes.com/*
// @grant                GM_addStyle
// @grant                GM_info
// @homepageURL          https://github.com/koyasi777/standardnotes-markdown-enhancer
// @supportURL           https://github.com/koyasi777/standardnotes-markdown-enhancer/issues
// @icon                 https://app.standardnotes.com/favicon/favicon-32x32.png
// @license              MIT
// @run-at               document-idle
// @noframes
// ==/UserScript==

(() => {
  var __create = Object.create;
  var __defProp = Object.defineProperty;
  var __getOwnPropDesc = Object.getOwnPropertyDescriptor;
  var __getOwnPropNames = Object.getOwnPropertyNames;
  var __getProtoOf = Object.getPrototypeOf;
  var __hasOwnProp = Object.prototype.hasOwnProperty;
  var __commonJS = (cb, mod) => function __require() {
    return mod || (0, cb[__getOwnPropNames(cb)[0]])((mod = { exports: {} }).exports, mod), mod.exports;
  };
  var __copyProps = (to, from, except, desc) => {
    if (from && typeof from === "object" || typeof from === "function") {
      for (let key of __getOwnPropNames(from))
        if (!__hasOwnProp.call(to, key) && key !== except)
          __defProp(to, key, { get: () => from[key], enumerable: !(desc = __getOwnPropDesc(from, key)) || desc.enumerable });
    }
    return to;
  };
  var __toESM = (mod, isNodeMode, target) => (target = mod != null ? __create(__getProtoOf(mod)) : {}, __copyProps(
    // If the importer is in node compatibility mode or this is not an ESM
    // file that has been converted to a CommonJS file using a Babel-
    // compatible transform (i.e. "__esModule" has not been set), then set
    // "default" to the CommonJS "module.exports" for node compatibility.
    isNodeMode || !mod || !mod.__esModule ? __defProp(target, "default", { value: mod, enumerable: true }) : target,
    mod
  ));

  // node_modules/highlight.js/lib/core.js
  var require_core = __commonJS({
    "node_modules/highlight.js/lib/core.js"(exports, module) {
      function deepFreeze(obj) {
        if (obj instanceof Map) {
          obj.clear = obj.delete = obj.set = function() {
            throw new Error("map is read-only");
          };
        } else if (obj instanceof Set) {
          obj.add = obj.clear = obj.delete = function() {
            throw new Error("set is read-only");
          };
        }
        Object.freeze(obj);
        Object.getOwnPropertyNames(obj).forEach((name) => {
          const prop = obj[name];
          const type = typeof prop;
          if ((type === "object" || type === "function") && !Object.isFrozen(prop)) {
            deepFreeze(prop);
          }
        });
        return obj;
      }
      var Response = class {
        /**
         * @param {CompiledMode} mode
         */
        constructor(mode) {
          if (mode.data === void 0) mode.data = {};
          this.data = mode.data;
          this.isMatchIgnored = false;
        }
        ignoreMatch() {
          this.isMatchIgnored = true;
        }
      };
      function escapeHTML(value) {
        return value.replace(/&/g, "&amp;").replace(/</g, "&lt;").replace(/>/g, "&gt;").replace(/"/g, "&quot;").replace(/'/g, "&#x27;");
      }
      function inherit$1(original, ...objects) {
        const result = /* @__PURE__ */ Object.create(null);
        for (const key in original) {
          result[key] = original[key];
        }
        objects.forEach(function(obj) {
          for (const key in obj) {
            result[key] = obj[key];
          }
        });
        return (
          /** @type {T} */
          result
        );
      }
      var SPAN_CLOSE = "</span>";
      var emitsWrappingTags = (node) => {
        return !!node.scope;
      };
      var scopeToCSSClass = (name, { prefix }) => {
        if (name.startsWith("language:")) {
          return name.replace("language:", "language-");
        }
        if (name.includes(".")) {
          const pieces = name.split(".");
          return [
            `${prefix}${pieces.shift()}`,
            ...pieces.map((x, i) => `${x}${"_".repeat(i + 1)}`)
          ].join(" ");
        }
        return `${prefix}${name}`;
      };
      var HTMLRenderer = class {
        /**
         * Creates a new HTMLRenderer
         *
         * @param {Tree} parseTree - the parse tree (must support `walk` API)
         * @param {{classPrefix: string}} options
         */
        constructor(parseTree, options) {
          this.buffer = "";
          this.classPrefix = options.classPrefix;
          parseTree.walk(this);
        }
        /**
         * Adds texts to the output stream
         *
         * @param {string} text */
        addText(text2) {
          this.buffer += escapeHTML(text2);
        }
        /**
         * Adds a node open to the output stream (if needed)
         *
         * @param {Node} node */
        openNode(node) {
          if (!emitsWrappingTags(node)) return;
          const className = scopeToCSSClass(
            node.scope,
            { prefix: this.classPrefix }
          );
          this.span(className);
        }
        /**
         * Adds a node close to the output stream (if needed)
         *
         * @param {Node} node */
        closeNode(node) {
          if (!emitsWrappingTags(node)) return;
          this.buffer += SPAN_CLOSE;
        }
        /**
         * returns the accumulated buffer
        */
        value() {
          return this.buffer;
        }
        // helpers
        /**
         * Builds a span element
         *
         * @param {string} className */
        span(className) {
          this.buffer += `<span class="${className}">`;
        }
      };
      var newNode = (opts = {}) => {
        const result = { children: [] };
        Object.assign(result, opts);
        return result;
      };
      var TokenTree = class _TokenTree {
        constructor() {
          this.rootNode = newNode();
          this.stack = [this.rootNode];
        }
        get top() {
          return this.stack[this.stack.length - 1];
        }
        get root() {
          return this.rootNode;
        }
        /** @param {Node} node */
        add(node) {
          this.top.children.push(node);
        }
        /** @param {string} scope */
        openNode(scope) {
          const node = newNode({ scope });
          this.add(node);
          this.stack.push(node);
        }
        closeNode() {
          if (this.stack.length > 1) {
            return this.stack.pop();
          }
          return void 0;
        }
        closeAllNodes() {
          while (this.closeNode()) ;
        }
        toJSON() {
          return JSON.stringify(this.rootNode, null, 4);
        }
        /**
         * @typedef { import("./html_renderer").Renderer } Renderer
         * @param {Renderer} builder
         */
        walk(builder) {
          return this.constructor._walk(builder, this.rootNode);
        }
        /**
         * @param {Renderer} builder
         * @param {Node} node
         */
        static _walk(builder, node) {
          if (typeof node === "string") {
            builder.addText(node);
          } else if (node.children) {
            builder.openNode(node);
            node.children.forEach((child) => this._walk(builder, child));
            builder.closeNode(node);
          }
          return builder;
        }
        /**
         * @param {Node} node
         */
        static _collapse(node) {
          if (typeof node === "string") return;
          if (!node.children) return;
          if (node.children.every((el) => typeof el === "string")) {
            node.children = [node.children.join("")];
          } else {
            node.children.forEach((child) => {
              _TokenTree._collapse(child);
            });
          }
        }
      };
      var TokenTreeEmitter = class extends TokenTree {
        /**
         * @param {*} options
         */
        constructor(options) {
          super();
          this.options = options;
        }
        /**
         * @param {string} text
         */
        addText(text2) {
          if (text2 === "") {
            return;
          }
          this.add(text2);
        }
        /** @param {string} scope */
        startScope(scope) {
          this.openNode(scope);
        }
        endScope() {
          this.closeNode();
        }
        /**
         * @param {Emitter & {root: DataNode}} emitter
         * @param {string} name
         */
        __addSublanguage(emitter, name) {
          const node = emitter.root;
          if (name) node.scope = `language:${name}`;
          this.add(node);
        }
        toHTML() {
          const renderer = new HTMLRenderer(this, this.options);
          return renderer.value();
        }
        finalize() {
          this.closeAllNodes();
          return true;
        }
      };
      function source(re2) {
        if (!re2) return null;
        if (typeof re2 === "string") return re2;
        return re2.source;
      }
      function lookahead(re2) {
        return concat("(?=", re2, ")");
      }
      function anyNumberOfTimes(re2) {
        return concat("(?:", re2, ")*");
      }
      function optional(re2) {
        return concat("(?:", re2, ")?");
      }
      function concat(...args) {
        const joined = args.map((x) => source(x)).join("");
        return joined;
      }
      function stripOptionsFromArgs(args) {
        const opts = args[args.length - 1];
        if (typeof opts === "object" && opts.constructor === Object) {
          args.splice(args.length - 1, 1);
          return opts;
        } else {
          return {};
        }
      }
      function either(...args) {
        const opts = stripOptionsFromArgs(args);
        const joined = "(" + (opts.capture ? "" : "?:") + args.map((x) => source(x)).join("|") + ")";
        return joined;
      }
      function countMatchGroups(re2) {
        return new RegExp(re2.toString() + "|").exec("").length - 1;
      }
      function startsWith(re2, lexeme) {
        const match = re2 && re2.exec(lexeme);
        return match && match.index === 0;
      }
      var BACKREF_RE = /\[(?:[^\\\]]|\\.)*\]|\(\??|\\([1-9][0-9]*)|\\./;
      function _rewriteBackreferences(regexps, { joinWith }) {
        let numCaptures = 0;
        return regexps.map((regex) => {
          numCaptures += 1;
          const offset = numCaptures;
          let re2 = source(regex);
          let out = "";
          while (re2.length > 0) {
            const match = BACKREF_RE.exec(re2);
            if (!match) {
              out += re2;
              break;
            }
            out += re2.substring(0, match.index);
            re2 = re2.substring(match.index + match[0].length);
            if (match[0][0] === "\\" && match[1]) {
              out += "\\" + String(Number(match[1]) + offset);
            } else {
              out += match[0];
              if (match[0] === "(") {
                numCaptures++;
              }
            }
          }
          return out;
        }).map((re2) => `(${re2})`).join(joinWith);
      }
      var MATCH_NOTHING_RE = /\b\B/;
      var IDENT_RE = "[a-zA-Z]\\w*";
      var UNDERSCORE_IDENT_RE = "[a-zA-Z_]\\w*";
      var NUMBER_RE = "\\b\\d+(\\.\\d+)?";
      var C_NUMBER_RE = "(-?)(\\b0[xX][a-fA-F0-9]+|(\\b\\d+(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?)";
      var BINARY_NUMBER_RE = "\\b(0b[01]+)";
      var RE_STARTERS_RE = "!|!=|!==|%|%=|&|&&|&=|\\*|\\*=|\\+|\\+=|,|-|-=|/=|/|:|;|<<|<<=|<=|<|===|==|=|>>>=|>>=|>=|>>>|>>|>|\\?|\\[|\\{|\\(|\\^|\\^=|\\||\\|=|\\|\\||~";
      var SHEBANG = (opts = {}) => {
        const beginShebang = /^#![ ]*\//;
        if (opts.binary) {
          opts.begin = concat(
            beginShebang,
            /.*\b/,
            opts.binary,
            /\b.*/
          );
        }
        return inherit$1({
          scope: "meta",
          begin: beginShebang,
          end: /$/,
          relevance: 0,
          /** @type {ModeCallback} */
          "on:begin": (m2, resp) => {
            if (m2.index !== 0) resp.ignoreMatch();
          }
        }, opts);
      };
      var BACKSLASH_ESCAPE = {
        begin: "\\\\[\\s\\S]",
        relevance: 0
      };
      var APOS_STRING_MODE = {
        scope: "string",
        begin: "'",
        end: "'",
        illegal: "\\n",
        contains: [BACKSLASH_ESCAPE]
      };
      var QUOTE_STRING_MODE = {
        scope: "string",
        begin: '"',
        end: '"',
        illegal: "\\n",
        contains: [BACKSLASH_ESCAPE]
      };
      var PHRASAL_WORDS_MODE = {
        begin: /\b(a|an|the|are|I'm|isn't|don't|doesn't|won't|but|just|should|pretty|simply|enough|gonna|going|wtf|so|such|will|you|your|they|like|more)\b/
      };
      var COMMENT = function(begin, end, modeOptions = {}) {
        const mode = inherit$1(
          {
            scope: "comment",
            begin,
            end,
            contains: []
          },
          modeOptions
        );
        mode.contains.push({
          scope: "doctag",
          // hack to avoid the space from being included. the space is necessary to
          // match here to prevent the plain text rule below from gobbling up doctags
          begin: "[ ]*(?=(TODO|FIXME|NOTE|BUG|OPTIMIZE|HACK|XXX):)",
          end: /(TODO|FIXME|NOTE|BUG|OPTIMIZE|HACK|XXX):/,
          excludeBegin: true,
          relevance: 0
        });
        const ENGLISH_WORD = either(
          // list of common 1 and 2 letter words in English
          "I",
          "a",
          "is",
          "so",
          "us",
          "to",
          "at",
          "if",
          "in",
          "it",
          "on",
          // note: this is not an exhaustive list of contractions, just popular ones
          /[A-Za-z]+['](d|ve|re|ll|t|s|n)/,
          // contractions - can't we'd they're let's, etc
          /[A-Za-z]+[-][a-z]+/,
          // `no-way`, etc.
          /[A-Za-z][a-z]{2,}/
          // allow capitalized words at beginning of sentences
        );
        mode.contains.push(
          {
            // TODO: how to include ", (, ) without breaking grammars that use these for
            // comment delimiters?
            // begin: /[ ]+([()"]?([A-Za-z'-]{3,}|is|a|I|so|us|[tT][oO]|at|if|in|it|on)[.]?[()":]?([.][ ]|[ ]|\))){3}/
            // ---
            // this tries to find sequences of 3 english words in a row (without any
            // "programming" type syntax) this gives us a strong signal that we've
            // TRULY found a comment - vs perhaps scanning with the wrong language.
            // It's possible to find something that LOOKS like the start of the
            // comment - but then if there is no readable text - good chance it is a
            // false match and not a comment.
            //
            // for a visual example please see:
            // https://github.com/highlightjs/highlight.js/issues/2827
            begin: concat(
              /[ ]+/,
              // necessary to prevent us gobbling up doctags like /* @author Bob Mcgill */
              "(",
              ENGLISH_WORD,
              /[.]?[:]?([.][ ]|[ ])/,
              "){3}"
            )
            // look for 3 words in a row
          }
        );
        return mode;
      };
      var C_LINE_COMMENT_MODE = COMMENT("//", "$");
      var C_BLOCK_COMMENT_MODE = COMMENT("/\\*", "\\*/");
      var HASH_COMMENT_MODE = COMMENT("#", "$");
      var NUMBER_MODE = {
        scope: "number",
        begin: NUMBER_RE,
        relevance: 0
      };
      var C_NUMBER_MODE = {
        scope: "number",
        begin: C_NUMBER_RE,
        relevance: 0
      };
      var BINARY_NUMBER_MODE = {
        scope: "number",
        begin: BINARY_NUMBER_RE,
        relevance: 0
      };
      var REGEXP_MODE = {
        scope: "regexp",
        begin: /\/(?=[^/\n]*\/)/,
        end: /\/[gimuy]*/,
        contains: [
          BACKSLASH_ESCAPE,
          {
            begin: /\[/,
            end: /\]/,
            relevance: 0,
            contains: [BACKSLASH_ESCAPE]
          }
        ]
      };
      var TITLE_MODE = {
        scope: "title",
        begin: IDENT_RE,
        relevance: 0
      };
      var UNDERSCORE_TITLE_MODE = {
        scope: "title",
        begin: UNDERSCORE_IDENT_RE,
        relevance: 0
      };
      var METHOD_GUARD = {
        // excludes method names from keyword processing
        begin: "\\.\\s*" + UNDERSCORE_IDENT_RE,
        relevance: 0
      };
      var END_SAME_AS_BEGIN = function(mode) {
        return Object.assign(
          mode,
          {
            /** @type {ModeCallback} */
            "on:begin": (m2, resp) => {
              resp.data._beginMatch = m2[1];
            },
            /** @type {ModeCallback} */
            "on:end": (m2, resp) => {
              if (resp.data._beginMatch !== m2[1]) resp.ignoreMatch();
            }
          }
        );
      };
      var MODES = /* @__PURE__ */ Object.freeze({
        __proto__: null,
        APOS_STRING_MODE,
        BACKSLASH_ESCAPE,
        BINARY_NUMBER_MODE,
        BINARY_NUMBER_RE,
        COMMENT,
        C_BLOCK_COMMENT_MODE,
        C_LINE_COMMENT_MODE,
        C_NUMBER_MODE,
        C_NUMBER_RE,
        END_SAME_AS_BEGIN,
        HASH_COMMENT_MODE,
        IDENT_RE,
        MATCH_NOTHING_RE,
        METHOD_GUARD,
        NUMBER_MODE,
        NUMBER_RE,
        PHRASAL_WORDS_MODE,
        QUOTE_STRING_MODE,
        REGEXP_MODE,
        RE_STARTERS_RE,
        SHEBANG,
        TITLE_MODE,
        UNDERSCORE_IDENT_RE,
        UNDERSCORE_TITLE_MODE
      });
      function skipIfHasPrecedingDot(match, response) {
        const before = match.input[match.index - 1];
        if (before === ".") {
          response.ignoreMatch();
        }
      }
      function scopeClassName(mode, _parent) {
        if (mode.className !== void 0) {
          mode.scope = mode.className;
          delete mode.className;
        }
      }
      function beginKeywords(mode, parent) {
        if (!parent) return;
        if (!mode.beginKeywords) return;
        mode.begin = "\\b(" + mode.beginKeywords.split(" ").join("|") + ")(?!\\.)(?=\\b|\\s)";
        mode.__beforeBegin = skipIfHasPrecedingDot;
        mode.keywords = mode.keywords || mode.beginKeywords;
        delete mode.beginKeywords;
        if (mode.relevance === void 0) mode.relevance = 0;
      }
      function compileIllegal(mode, _parent) {
        if (!Array.isArray(mode.illegal)) return;
        mode.illegal = either(...mode.illegal);
      }
      function compileMatch(mode, _parent) {
        if (!mode.match) return;
        if (mode.begin || mode.end) throw new Error("begin & end are not supported with match");
        mode.begin = mode.match;
        delete mode.match;
      }
      function compileRelevance(mode, _parent) {
        if (mode.relevance === void 0) mode.relevance = 1;
      }
      var beforeMatchExt = (mode, parent) => {
        if (!mode.beforeMatch) return;
        if (mode.starts) throw new Error("beforeMatch cannot be used with starts");
        const originalMode = Object.assign({}, mode);
        Object.keys(mode).forEach((key) => {
          delete mode[key];
        });
        mode.keywords = originalMode.keywords;
        mode.begin = concat(originalMode.beforeMatch, lookahead(originalMode.begin));
        mode.starts = {
          relevance: 0,
          contains: [
            Object.assign(originalMode, { endsParent: true })
          ]
        };
        mode.relevance = 0;
        delete originalMode.beforeMatch;
      };
      var COMMON_KEYWORDS = [
        "of",
        "and",
        "for",
        "in",
        "not",
        "or",
        "if",
        "then",
        "parent",
        // common variable name
        "list",
        // common variable name
        "value"
        // common variable name
      ];
      var DEFAULT_KEYWORD_SCOPE = "keyword";
      function compileKeywords(rawKeywords, caseInsensitive, scopeName = DEFAULT_KEYWORD_SCOPE) {
        const compiledKeywords = /* @__PURE__ */ Object.create(null);
        if (typeof rawKeywords === "string") {
          compileList(scopeName, rawKeywords.split(" "));
        } else if (Array.isArray(rawKeywords)) {
          compileList(scopeName, rawKeywords);
        } else {
          Object.keys(rawKeywords).forEach(function(scopeName2) {
            Object.assign(
              compiledKeywords,
              compileKeywords(rawKeywords[scopeName2], caseInsensitive, scopeName2)
            );
          });
        }
        return compiledKeywords;
        function compileList(scopeName2, keywordList) {
          if (caseInsensitive) {
            keywordList = keywordList.map((x) => x.toLowerCase());
          }
          keywordList.forEach(function(keyword) {
            const pair = keyword.split("|");
            compiledKeywords[pair[0]] = [scopeName2, scoreForKeyword(pair[0], pair[1])];
          });
        }
      }
      function scoreForKeyword(keyword, providedScore) {
        if (providedScore) {
          return Number(providedScore);
        }
        return commonKeyword(keyword) ? 0 : 1;
      }
      function commonKeyword(keyword) {
        return COMMON_KEYWORDS.includes(keyword.toLowerCase());
      }
      var seenDeprecations = {};
      var error = (message) => {
        console.error(message);
      };
      var warn = (message, ...args) => {
        console.log(`WARN: ${message}`, ...args);
      };
      var deprecated = (version2, message) => {
        if (seenDeprecations[`${version2}/${message}`]) return;
        console.log(`Deprecated as of ${version2}. ${message}`);
        seenDeprecations[`${version2}/${message}`] = true;
      };
      var MultiClassError = new Error();
      function remapScopeNames(mode, regexes, { key }) {
        let offset = 0;
        const scopeNames = mode[key];
        const emit = {};
        const positions = {};
        for (let i = 1; i <= regexes.length; i++) {
          positions[i + offset] = scopeNames[i];
          emit[i + offset] = true;
          offset += countMatchGroups(regexes[i - 1]);
        }
        mode[key] = positions;
        mode[key]._emit = emit;
        mode[key]._multi = true;
      }
      function beginMultiClass(mode) {
        if (!Array.isArray(mode.begin)) return;
        if (mode.skip || mode.excludeBegin || mode.returnBegin) {
          error("skip, excludeBegin, returnBegin not compatible with beginScope: {}");
          throw MultiClassError;
        }
        if (typeof mode.beginScope !== "object" || mode.beginScope === null) {
          error("beginScope must be object");
          throw MultiClassError;
        }
        remapScopeNames(mode, mode.begin, { key: "beginScope" });
        mode.begin = _rewriteBackreferences(mode.begin, { joinWith: "" });
      }
      function endMultiClass(mode) {
        if (!Array.isArray(mode.end)) return;
        if (mode.skip || mode.excludeEnd || mode.returnEnd) {
          error("skip, excludeEnd, returnEnd not compatible with endScope: {}");
          throw MultiClassError;
        }
        if (typeof mode.endScope !== "object" || mode.endScope === null) {
          error("endScope must be object");
          throw MultiClassError;
        }
        remapScopeNames(mode, mode.end, { key: "endScope" });
        mode.end = _rewriteBackreferences(mode.end, { joinWith: "" });
      }
      function scopeSugar(mode) {
        if (mode.scope && typeof mode.scope === "object" && mode.scope !== null) {
          mode.beginScope = mode.scope;
          delete mode.scope;
        }
      }
      function MultiClass(mode) {
        scopeSugar(mode);
        if (typeof mode.beginScope === "string") {
          mode.beginScope = { _wrap: mode.beginScope };
        }
        if (typeof mode.endScope === "string") {
          mode.endScope = { _wrap: mode.endScope };
        }
        beginMultiClass(mode);
        endMultiClass(mode);
      }
      function compileLanguage(language) {
        function langRe(value, global) {
          return new RegExp(
            source(value),
            "m" + (language.case_insensitive ? "i" : "") + (language.unicodeRegex ? "u" : "") + (global ? "g" : "")
          );
        }
        class MultiRegex {
          constructor() {
            this.matchIndexes = {};
            this.regexes = [];
            this.matchAt = 1;
            this.position = 0;
          }
          // @ts-ignore
          addRule(re2, opts) {
            opts.position = this.position++;
            this.matchIndexes[this.matchAt] = opts;
            this.regexes.push([opts, re2]);
            this.matchAt += countMatchGroups(re2) + 1;
          }
          compile() {
            if (this.regexes.length === 0) {
              this.exec = () => null;
            }
            const terminators = this.regexes.map((el) => el[1]);
            this.matcherRe = langRe(_rewriteBackreferences(terminators, { joinWith: "|" }), true);
            this.lastIndex = 0;
          }
          /** @param {string} s */
          exec(s) {
            this.matcherRe.lastIndex = this.lastIndex;
            const match = this.matcherRe.exec(s);
            if (!match) {
              return null;
            }
            const i = match.findIndex((el, i2) => i2 > 0 && el !== void 0);
            const matchData = this.matchIndexes[i];
            match.splice(0, i);
            return Object.assign(match, matchData);
          }
        }
        class ResumableMultiRegex {
          constructor() {
            this.rules = [];
            this.multiRegexes = [];
            this.count = 0;
            this.lastIndex = 0;
            this.regexIndex = 0;
          }
          // @ts-ignore
          getMatcher(index) {
            if (this.multiRegexes[index]) return this.multiRegexes[index];
            const matcher = new MultiRegex();
            this.rules.slice(index).forEach(([re2, opts]) => matcher.addRule(re2, opts));
            matcher.compile();
            this.multiRegexes[index] = matcher;
            return matcher;
          }
          resumingScanAtSamePosition() {
            return this.regexIndex !== 0;
          }
          considerAll() {
            this.regexIndex = 0;
          }
          // @ts-ignore
          addRule(re2, opts) {
            this.rules.push([re2, opts]);
            if (opts.type === "begin") this.count++;
          }
          /** @param {string} s */
          exec(s) {
            const m2 = this.getMatcher(this.regexIndex);
            m2.lastIndex = this.lastIndex;
            let result = m2.exec(s);
            if (this.resumingScanAtSamePosition()) {
              if (result && result.index === this.lastIndex) ;
              else {
                const m22 = this.getMatcher(0);
                m22.lastIndex = this.lastIndex + 1;
                result = m22.exec(s);
              }
            }
            if (result) {
              this.regexIndex += result.position + 1;
              if (this.regexIndex === this.count) {
                this.considerAll();
              }
            }
            return result;
          }
        }
        function buildModeRegex(mode) {
          const mm = new ResumableMultiRegex();
          mode.contains.forEach((term) => mm.addRule(term.begin, { rule: term, type: "begin" }));
          if (mode.terminatorEnd) {
            mm.addRule(mode.terminatorEnd, { type: "end" });
          }
          if (mode.illegal) {
            mm.addRule(mode.illegal, { type: "illegal" });
          }
          return mm;
        }
        function compileMode(mode, parent) {
          const cmode = (
            /** @type CompiledMode */
            mode
          );
          if (mode.isCompiled) return cmode;
          [
            scopeClassName,
            // do this early so compiler extensions generally don't have to worry about
            // the distinction between match/begin
            compileMatch,
            MultiClass,
            beforeMatchExt
          ].forEach((ext) => ext(mode, parent));
          language.compilerExtensions.forEach((ext) => ext(mode, parent));
          mode.__beforeBegin = null;
          [
            beginKeywords,
            // do this later so compiler extensions that come earlier have access to the
            // raw array if they wanted to perhaps manipulate it, etc.
            compileIllegal,
            // default to 1 relevance if not specified
            compileRelevance
          ].forEach((ext) => ext(mode, parent));
          mode.isCompiled = true;
          let keywordPattern = null;
          if (typeof mode.keywords === "object" && mode.keywords.$pattern) {
            mode.keywords = Object.assign({}, mode.keywords);
            keywordPattern = mode.keywords.$pattern;
            delete mode.keywords.$pattern;
          }
          keywordPattern = keywordPattern || /\w+/;
          if (mode.keywords) {
            mode.keywords = compileKeywords(mode.keywords, language.case_insensitive);
          }
          cmode.keywordPatternRe = langRe(keywordPattern, true);
          if (parent) {
            if (!mode.begin) mode.begin = /\B|\b/;
            cmode.beginRe = langRe(cmode.begin);
            if (!mode.end && !mode.endsWithParent) mode.end = /\B|\b/;
            if (mode.end) cmode.endRe = langRe(cmode.end);
            cmode.terminatorEnd = source(cmode.end) || "";
            if (mode.endsWithParent && parent.terminatorEnd) {
              cmode.terminatorEnd += (mode.end ? "|" : "") + parent.terminatorEnd;
            }
          }
          if (mode.illegal) cmode.illegalRe = langRe(
            /** @type {RegExp | string} */
            mode.illegal
          );
          if (!mode.contains) mode.contains = [];
          mode.contains = [].concat(...mode.contains.map(function(c) {
            return expandOrCloneMode(c === "self" ? mode : c);
          }));
          mode.contains.forEach(function(c) {
            compileMode(
              /** @type Mode */
              c,
              cmode
            );
          });
          if (mode.starts) {
            compileMode(mode.starts, parent);
          }
          cmode.matcher = buildModeRegex(cmode);
          return cmode;
        }
        if (!language.compilerExtensions) language.compilerExtensions = [];
        if (language.contains && language.contains.includes("self")) {
          throw new Error("ERR: contains `self` is not supported at the top-level of a language.  See documentation.");
        }
        language.classNameAliases = inherit$1(language.classNameAliases || {});
        return compileMode(
          /** @type Mode */
          language
        );
      }
      function dependencyOnParent(mode) {
        if (!mode) return false;
        return mode.endsWithParent || dependencyOnParent(mode.starts);
      }
      function expandOrCloneMode(mode) {
        if (mode.variants && !mode.cachedVariants) {
          mode.cachedVariants = mode.variants.map(function(variant) {
            return inherit$1(mode, { variants: null }, variant);
          });
        }
        if (mode.cachedVariants) {
          return mode.cachedVariants;
        }
        if (dependencyOnParent(mode)) {
          return inherit$1(mode, { starts: mode.starts ? inherit$1(mode.starts) : null });
        }
        if (Object.isFrozen(mode)) {
          return inherit$1(mode);
        }
        return mode;
      }
      var version = "11.11.1";
      var HTMLInjectionError = class extends Error {
        constructor(reason, html2) {
          super(reason);
          this.name = "HTMLInjectionError";
          this.html = html2;
        }
      };
      var escape = escapeHTML;
      var inherit = inherit$1;
      var NO_MATCH = Symbol("nomatch");
      var MAX_KEYWORD_HITS = 7;
      var HLJS = function(hljs) {
        const languages = /* @__PURE__ */ Object.create(null);
        const aliases = /* @__PURE__ */ Object.create(null);
        const plugins = [];
        let SAFE_MODE = true;
        const LANGUAGE_NOT_FOUND = "Could not find the language '{}', did you forget to load/include a language module?";
        const PLAINTEXT_LANGUAGE = { disableAutodetect: true, name: "Plain text", contains: [] };
        let options = {
          ignoreUnescapedHTML: false,
          throwUnescapedHTML: false,
          noHighlightRe: /^(no-?highlight)$/i,
          languageDetectRe: /\blang(?:uage)?-([\w-]+)\b/i,
          classPrefix: "hljs-",
          cssSelector: "pre code",
          languages: null,
          // beta configuration options, subject to change, welcome to discuss
          // https://github.com/highlightjs/highlight.js/issues/1086
          __emitter: TokenTreeEmitter
        };
        function shouldNotHighlight(languageName) {
          return options.noHighlightRe.test(languageName);
        }
        function blockLanguage(block) {
          let classes = block.className + " ";
          classes += block.parentNode ? block.parentNode.className : "";
          const match = options.languageDetectRe.exec(classes);
          if (match) {
            const language = getLanguage(match[1]);
            if (!language) {
              warn(LANGUAGE_NOT_FOUND.replace("{}", match[1]));
              warn("Falling back to no-highlight mode for this block.", block);
            }
            return language ? match[1] : "no-highlight";
          }
          return classes.split(/\s+/).find((_class) => shouldNotHighlight(_class) || getLanguage(_class));
        }
        function highlight2(codeOrLanguageName, optionsOrCode, ignoreIllegals) {
          let code = "";
          let languageName = "";
          if (typeof optionsOrCode === "object") {
            code = codeOrLanguageName;
            ignoreIllegals = optionsOrCode.ignoreIllegals;
            languageName = optionsOrCode.language;
          } else {
            deprecated("10.7.0", "highlight(lang, code, ...args) has been deprecated.");
            deprecated("10.7.0", "Please use highlight(code, options) instead.\nhttps://github.com/highlightjs/highlight.js/issues/2277");
            languageName = codeOrLanguageName;
            code = optionsOrCode;
          }
          if (ignoreIllegals === void 0) {
            ignoreIllegals = true;
          }
          const context = {
            code,
            language: languageName
          };
          fire("before:highlight", context);
          const result = context.result ? context.result : _highlight(context.language, context.code, ignoreIllegals);
          result.code = context.code;
          fire("after:highlight", result);
          return result;
        }
        function _highlight(languageName, codeToHighlight, ignoreIllegals, continuation) {
          const keywordHits = /* @__PURE__ */ Object.create(null);
          function keywordData(mode, matchText) {
            return mode.keywords[matchText];
          }
          function processKeywords() {
            if (!top.keywords) {
              emitter.addText(modeBuffer);
              return;
            }
            let lastIndex = 0;
            top.keywordPatternRe.lastIndex = 0;
            let match = top.keywordPatternRe.exec(modeBuffer);
            let buf = "";
            while (match) {
              buf += modeBuffer.substring(lastIndex, match.index);
              const word = language.case_insensitive ? match[0].toLowerCase() : match[0];
              const data = keywordData(top, word);
              if (data) {
                const [kind, keywordRelevance] = data;
                emitter.addText(buf);
                buf = "";
                keywordHits[word] = (keywordHits[word] || 0) + 1;
                if (keywordHits[word] <= MAX_KEYWORD_HITS) relevance += keywordRelevance;
                if (kind.startsWith("_")) {
                  buf += match[0];
                } else {
                  const cssClass = language.classNameAliases[kind] || kind;
                  emitKeyword(match[0], cssClass);
                }
              } else {
                buf += match[0];
              }
              lastIndex = top.keywordPatternRe.lastIndex;
              match = top.keywordPatternRe.exec(modeBuffer);
            }
            buf += modeBuffer.substring(lastIndex);
            emitter.addText(buf);
          }
          function processSubLanguage() {
            if (modeBuffer === "") return;
            let result2 = null;
            if (typeof top.subLanguage === "string") {
              if (!languages[top.subLanguage]) {
                emitter.addText(modeBuffer);
                return;
              }
              result2 = _highlight(top.subLanguage, modeBuffer, true, continuations[top.subLanguage]);
              continuations[top.subLanguage] = /** @type {CompiledMode} */
              result2._top;
            } else {
              result2 = highlightAuto(modeBuffer, top.subLanguage.length ? top.subLanguage : null);
            }
            if (top.relevance > 0) {
              relevance += result2.relevance;
            }
            emitter.__addSublanguage(result2._emitter, result2.language);
          }
          function processBuffer() {
            if (top.subLanguage != null) {
              processSubLanguage();
            } else {
              processKeywords();
            }
            modeBuffer = "";
          }
          function emitKeyword(keyword, scope) {
            if (keyword === "") return;
            emitter.startScope(scope);
            emitter.addText(keyword);
            emitter.endScope();
          }
          function emitMultiClass(scope, match) {
            let i = 1;
            const max = match.length - 1;
            while (i <= max) {
              if (!scope._emit[i]) {
                i++;
                continue;
              }
              const klass = language.classNameAliases[scope[i]] || scope[i];
              const text2 = match[i];
              if (klass) {
                emitKeyword(text2, klass);
              } else {
                modeBuffer = text2;
                processKeywords();
                modeBuffer = "";
              }
              i++;
            }
          }
          function startNewMode(mode, match) {
            if (mode.scope && typeof mode.scope === "string") {
              emitter.openNode(language.classNameAliases[mode.scope] || mode.scope);
            }
            if (mode.beginScope) {
              if (mode.beginScope._wrap) {
                emitKeyword(modeBuffer, language.classNameAliases[mode.beginScope._wrap] || mode.beginScope._wrap);
                modeBuffer = "";
              } else if (mode.beginScope._multi) {
                emitMultiClass(mode.beginScope, match);
                modeBuffer = "";
              }
            }
            top = Object.create(mode, { parent: { value: top } });
            return top;
          }
          function endOfMode(mode, match, matchPlusRemainder) {
            let matched = startsWith(mode.endRe, matchPlusRemainder);
            if (matched) {
              if (mode["on:end"]) {
                const resp = new Response(mode);
                mode["on:end"](match, resp);
                if (resp.isMatchIgnored) matched = false;
              }
              if (matched) {
                while (mode.endsParent && mode.parent) {
                  mode = mode.parent;
                }
                return mode;
              }
            }
            if (mode.endsWithParent) {
              return endOfMode(mode.parent, match, matchPlusRemainder);
            }
          }
          function doIgnore(lexeme) {
            if (top.matcher.regexIndex === 0) {
              modeBuffer += lexeme[0];
              return 1;
            } else {
              resumeScanAtSamePosition = true;
              return 0;
            }
          }
          function doBeginMatch(match) {
            const lexeme = match[0];
            const newMode = match.rule;
            const resp = new Response(newMode);
            const beforeCallbacks = [newMode.__beforeBegin, newMode["on:begin"]];
            for (const cb of beforeCallbacks) {
              if (!cb) continue;
              cb(match, resp);
              if (resp.isMatchIgnored) return doIgnore(lexeme);
            }
            if (newMode.skip) {
              modeBuffer += lexeme;
            } else {
              if (newMode.excludeBegin) {
                modeBuffer += lexeme;
              }
              processBuffer();
              if (!newMode.returnBegin && !newMode.excludeBegin) {
                modeBuffer = lexeme;
              }
            }
            startNewMode(newMode, match);
            return newMode.returnBegin ? 0 : lexeme.length;
          }
          function doEndMatch(match) {
            const lexeme = match[0];
            const matchPlusRemainder = codeToHighlight.substring(match.index);
            const endMode = endOfMode(top, match, matchPlusRemainder);
            if (!endMode) {
              return NO_MATCH;
            }
            const origin = top;
            if (top.endScope && top.endScope._wrap) {
              processBuffer();
              emitKeyword(lexeme, top.endScope._wrap);
            } else if (top.endScope && top.endScope._multi) {
              processBuffer();
              emitMultiClass(top.endScope, match);
            } else if (origin.skip) {
              modeBuffer += lexeme;
            } else {
              if (!(origin.returnEnd || origin.excludeEnd)) {
                modeBuffer += lexeme;
              }
              processBuffer();
              if (origin.excludeEnd) {
                modeBuffer = lexeme;
              }
            }
            do {
              if (top.scope) {
                emitter.closeNode();
              }
              if (!top.skip && !top.subLanguage) {
                relevance += top.relevance;
              }
              top = top.parent;
            } while (top !== endMode.parent);
            if (endMode.starts) {
              startNewMode(endMode.starts, match);
            }
            return origin.returnEnd ? 0 : lexeme.length;
          }
          function processContinuations() {
            const list = [];
            for (let current = top; current !== language; current = current.parent) {
              if (current.scope) {
                list.unshift(current.scope);
              }
            }
            list.forEach((item) => emitter.openNode(item));
          }
          let lastMatch = {};
          function processLexeme(textBeforeMatch, match) {
            const lexeme = match && match[0];
            modeBuffer += textBeforeMatch;
            if (lexeme == null) {
              processBuffer();
              return 0;
            }
            if (lastMatch.type === "begin" && match.type === "end" && lastMatch.index === match.index && lexeme === "") {
              modeBuffer += codeToHighlight.slice(match.index, match.index + 1);
              if (!SAFE_MODE) {
                const err = new Error(`0 width match regex (${languageName})`);
                err.languageName = languageName;
                err.badRule = lastMatch.rule;
                throw err;
              }
              return 1;
            }
            lastMatch = match;
            if (match.type === "begin") {
              return doBeginMatch(match);
            } else if (match.type === "illegal" && !ignoreIllegals) {
              const err = new Error('Illegal lexeme "' + lexeme + '" for mode "' + (top.scope || "<unnamed>") + '"');
              err.mode = top;
              throw err;
            } else if (match.type === "end") {
              const processed = doEndMatch(match);
              if (processed !== NO_MATCH) {
                return processed;
              }
            }
            if (match.type === "illegal" && lexeme === "") {
              modeBuffer += "\n";
              return 1;
            }
            if (iterations > 1e5 && iterations > match.index * 3) {
              const err = new Error("potential infinite loop, way more iterations than matches");
              throw err;
            }
            modeBuffer += lexeme;
            return lexeme.length;
          }
          const language = getLanguage(languageName);
          if (!language) {
            error(LANGUAGE_NOT_FOUND.replace("{}", languageName));
            throw new Error('Unknown language: "' + languageName + '"');
          }
          const md = compileLanguage(language);
          let result = "";
          let top = continuation || md;
          const continuations = {};
          const emitter = new options.__emitter(options);
          processContinuations();
          let modeBuffer = "";
          let relevance = 0;
          let index = 0;
          let iterations = 0;
          let resumeScanAtSamePosition = false;
          try {
            if (!language.__emitTokens) {
              top.matcher.considerAll();
              for (; ; ) {
                iterations++;
                if (resumeScanAtSamePosition) {
                  resumeScanAtSamePosition = false;
                } else {
                  top.matcher.considerAll();
                }
                top.matcher.lastIndex = index;
                const match = top.matcher.exec(codeToHighlight);
                if (!match) break;
                const beforeMatch = codeToHighlight.substring(index, match.index);
                const processedCount = processLexeme(beforeMatch, match);
                index = match.index + processedCount;
              }
              processLexeme(codeToHighlight.substring(index));
            } else {
              language.__emitTokens(codeToHighlight, emitter);
            }
            emitter.finalize();
            result = emitter.toHTML();
            return {
              language: languageName,
              value: result,
              relevance,
              illegal: false,
              _emitter: emitter,
              _top: top
            };
          } catch (err) {
            if (err.message && err.message.includes("Illegal")) {
              return {
                language: languageName,
                value: escape(codeToHighlight),
                illegal: true,
                relevance: 0,
                _illegalBy: {
                  message: err.message,
                  index,
                  context: codeToHighlight.slice(index - 100, index + 100),
                  mode: err.mode,
                  resultSoFar: result
                },
                _emitter: emitter
              };
            } else if (SAFE_MODE) {
              return {
                language: languageName,
                value: escape(codeToHighlight),
                illegal: false,
                relevance: 0,
                errorRaised: err,
                _emitter: emitter,
                _top: top
              };
            } else {
              throw err;
            }
          }
        }
        function justTextHighlightResult(code) {
          const result = {
            value: escape(code),
            illegal: false,
            relevance: 0,
            _top: PLAINTEXT_LANGUAGE,
            _emitter: new options.__emitter(options)
          };
          result._emitter.addText(code);
          return result;
        }
        function highlightAuto(code, languageSubset) {
          languageSubset = languageSubset || options.languages || Object.keys(languages);
          const plaintext = justTextHighlightResult(code);
          const results = languageSubset.filter(getLanguage).filter(autoDetection).map(
            (name) => _highlight(name, code, false)
          );
          results.unshift(plaintext);
          const sorted = results.sort((a, b2) => {
            if (a.relevance !== b2.relevance) return b2.relevance - a.relevance;
            if (a.language && b2.language) {
              if (getLanguage(a.language).supersetOf === b2.language) {
                return 1;
              } else if (getLanguage(b2.language).supersetOf === a.language) {
                return -1;
              }
            }
            return 0;
          });
          const [best, secondBest] = sorted;
          const result = best;
          result.secondBest = secondBest;
          return result;
        }
        function updateClassName(element, currentLang, resultLang) {
          const language = currentLang && aliases[currentLang] || resultLang;
          element.classList.add("hljs");
          element.classList.add(`language-${language}`);
        }
        function highlightElement(element) {
          let node = null;
          const language = blockLanguage(element);
          if (shouldNotHighlight(language)) return;
          fire(
            "before:highlightElement",
            { el: element, language }
          );
          if (element.dataset.highlighted) {
            console.log("Element previously highlighted. To highlight again, first unset `dataset.highlighted`.", element);
            return;
          }
          if (element.children.length > 0) {
            if (!options.ignoreUnescapedHTML) {
              console.warn("One of your code blocks includes unescaped HTML. This is a potentially serious security risk.");
              console.warn("https://github.com/highlightjs/highlight.js/wiki/security");
              console.warn("The element with unescaped HTML:");
              console.warn(element);
            }
            if (options.throwUnescapedHTML) {
              const err = new HTMLInjectionError(
                "One of your code blocks includes unescaped HTML.",
                element.innerHTML
              );
              throw err;
            }
          }
          node = element;
          const text2 = node.textContent;
          const result = language ? highlight2(text2, { language, ignoreIllegals: true }) : highlightAuto(text2);
          element.innerHTML = result.value;
          element.dataset.highlighted = "yes";
          updateClassName(element, language, result.language);
          element.result = {
            language: result.language,
            // TODO: remove with version 11.0
            re: result.relevance,
            relevance: result.relevance
          };
          if (result.secondBest) {
            element.secondBest = {
              language: result.secondBest.language,
              relevance: result.secondBest.relevance
            };
          }
          fire("after:highlightElement", { el: element, result, text: text2 });
        }
        function configure(userOptions) {
          options = inherit(options, userOptions);
        }
        const initHighlighting = () => {
          highlightAll();
          deprecated("10.6.0", "initHighlighting() deprecated.  Use highlightAll() now.");
        };
        function initHighlightingOnLoad() {
          highlightAll();
          deprecated("10.6.0", "initHighlightingOnLoad() deprecated.  Use highlightAll() now.");
        }
        let wantsHighlight = false;
        function highlightAll() {
          function boot() {
            highlightAll();
          }
          if (document.readyState === "loading") {
            if (!wantsHighlight) {
              window.addEventListener("DOMContentLoaded", boot, false);
            }
            wantsHighlight = true;
            return;
          }
          const blocks = document.querySelectorAll(options.cssSelector);
          blocks.forEach(highlightElement);
        }
        function registerLanguage(languageName, languageDefinition) {
          let lang = null;
          try {
            lang = languageDefinition(hljs);
          } catch (error$1) {
            error("Language definition for '{}' could not be registered.".replace("{}", languageName));
            if (!SAFE_MODE) {
              throw error$1;
            } else {
              error(error$1);
            }
            lang = PLAINTEXT_LANGUAGE;
          }
          if (!lang.name) lang.name = languageName;
          languages[languageName] = lang;
          lang.rawDefinition = languageDefinition.bind(null, hljs);
          if (lang.aliases) {
            registerAliases(lang.aliases, { languageName });
          }
        }
        function unregisterLanguage(languageName) {
          delete languages[languageName];
          for (const alias of Object.keys(aliases)) {
            if (aliases[alias] === languageName) {
              delete aliases[alias];
            }
          }
        }
        function listLanguages() {
          return Object.keys(languages);
        }
        function getLanguage(name) {
          name = (name || "").toLowerCase();
          return languages[name] || languages[aliases[name]];
        }
        function registerAliases(aliasList, { languageName }) {
          if (typeof aliasList === "string") {
            aliasList = [aliasList];
          }
          aliasList.forEach((alias) => {
            aliases[alias.toLowerCase()] = languageName;
          });
        }
        function autoDetection(name) {
          const lang = getLanguage(name);
          return lang && !lang.disableAutodetect;
        }
        function upgradePluginAPI(plugin) {
          if (plugin["before:highlightBlock"] && !plugin["before:highlightElement"]) {
            plugin["before:highlightElement"] = (data) => {
              plugin["before:highlightBlock"](
                Object.assign({ block: data.el }, data)
              );
            };
          }
          if (plugin["after:highlightBlock"] && !plugin["after:highlightElement"]) {
            plugin["after:highlightElement"] = (data) => {
              plugin["after:highlightBlock"](
                Object.assign({ block: data.el }, data)
              );
            };
          }
        }
        function addPlugin(plugin) {
          upgradePluginAPI(plugin);
          plugins.push(plugin);
        }
        function removePlugin(plugin) {
          const index = plugins.indexOf(plugin);
          if (index !== -1) {
            plugins.splice(index, 1);
          }
        }
        function fire(event, args) {
          const cb = event;
          plugins.forEach(function(plugin) {
            if (plugin[cb]) {
              plugin[cb](args);
            }
          });
        }
        function deprecateHighlightBlock(el) {
          deprecated("10.7.0", "highlightBlock will be removed entirely in v12.0");
          deprecated("10.7.0", "Please use highlightElement now.");
          return highlightElement(el);
        }
        Object.assign(hljs, {
          highlight: highlight2,
          highlightAuto,
          highlightAll,
          highlightElement,
          // TODO: Remove with v12 API
          highlightBlock: deprecateHighlightBlock,
          configure,
          initHighlighting,
          initHighlightingOnLoad,
          registerLanguage,
          unregisterLanguage,
          listLanguages,
          getLanguage,
          registerAliases,
          autoDetection,
          inherit,
          addPlugin,
          removePlugin
        });
        hljs.debugMode = function() {
          SAFE_MODE = false;
        };
        hljs.safeMode = function() {
          SAFE_MODE = true;
        };
        hljs.versionString = version;
        hljs.regex = {
          concat,
          lookahead,
          either,
          optional,
          anyNumberOfTimes
        };
        for (const key in MODES) {
          if (typeof MODES[key] === "object") {
            deepFreeze(MODES[key]);
          }
        }
        Object.assign(hljs, MODES);
        return hljs;
      };
      var highlight = HLJS({});
      highlight.newInstance = () => HLJS({});
      module.exports = highlight;
      highlight.HighlightJS = highlight;
      highlight.default = highlight;
    }
  });

  // node_modules/highlight.js/lib/languages/1c.js
  var require_c = __commonJS({
    "node_modules/highlight.js/lib/languages/1c.js"(exports, module) {
      function _1c(hljs) {
        const UNDERSCORE_IDENT_RE = "[A-Za-z\u0410-\u042F\u0430-\u044F\u0451\u0401_][A-Za-z\u0410-\u042F\u0430-\u044F\u0451\u0401_0-9]+";
        const v7_keywords = "\u0434\u0430\u043B\u0435\u0435 ";
        const v8_keywords = "\u0432\u043E\u0437\u0432\u0440\u0430\u0442 \u0432\u044B\u0437\u0432\u0430\u0442\u044C\u0438\u0441\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435 \u0432\u044B\u043F\u043E\u043B\u043D\u0438\u0442\u044C \u0434\u043B\u044F \u0435\u0441\u043B\u0438 \u0438 \u0438\u0437 \u0438\u043B\u0438 \u0438\u043D\u0430\u0447\u0435 \u0438\u043D\u0430\u0447\u0435\u0435\u0441\u043B\u0438 \u0438\u0441\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435 \u043A\u0430\u0436\u0434\u043E\u0433\u043E \u043A\u043E\u043D\u0435\u0446\u0435\u0441\u043B\u0438 \u043A\u043E\u043D\u0435\u0446\u043F\u043E\u043F\u044B\u0442\u043A\u0438 \u043A\u043E\u043D\u0435\u0446\u0446\u0438\u043A\u043B\u0430 \u043D\u0435 \u043D\u043E\u0432\u044B\u0439 \u043F\u0435\u0440\u0435\u0439\u0442\u0438 \u043F\u0435\u0440\u0435\u043C \u043F\u043E \u043F\u043E\u043A\u0430 \u043F\u043E\u043F\u044B\u0442\u043A\u0430 \u043F\u0440\u0435\u0440\u0432\u0430\u0442\u044C \u043F\u0440\u043E\u0434\u043E\u043B\u0436\u0438\u0442\u044C \u0442\u043E\u0433\u0434\u0430 \u0446\u0438\u043A\u043B \u044D\u043A\u0441\u043F\u043E\u0440\u0442 ";
        const KEYWORD = v7_keywords + v8_keywords;
        const v7_meta_keywords = "\u0437\u0430\u0433\u0440\u0443\u0437\u0438\u0442\u044C\u0438\u0437\u0444\u0430\u0439\u043B\u0430 ";
        const v8_meta_keywords = "\u0432\u0435\u0431\u043A\u043B\u0438\u0435\u043D\u0442 \u0432\u043C\u0435\u0441\u0442\u043E \u0432\u043D\u0435\u0448\u043D\u0435\u0435\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435 \u043A\u043B\u0438\u0435\u043D\u0442 \u043A\u043E\u043D\u0435\u0446\u043E\u0431\u043B\u0430\u0441\u0442\u0438 \u043C\u043E\u0431\u0438\u043B\u044C\u043D\u043E\u0435\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043A\u043B\u0438\u0435\u043D\u0442 \u043C\u043E\u0431\u0438\u043B\u044C\u043D\u043E\u0435\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0441\u0435\u0440\u0432\u0435\u0440 \u043D\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0435 \u043D\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0435\u043D\u0430\u0441\u0435\u0440\u0432\u0435\u0440\u0435 \u043D\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0435\u043D\u0430\u0441\u0435\u0440\u0432\u0435\u0440\u0435\u0431\u0435\u0437\u043A\u043E\u043D\u0442\u0435\u043A\u0441\u0442\u0430 \u043D\u0430\u0441\u0435\u0440\u0432\u0435\u0440\u0435 \u043D\u0430\u0441\u0435\u0440\u0432\u0435\u0440\u0435\u0431\u0435\u0437\u043A\u043E\u043D\u0442\u0435\u043A\u0441\u0442\u0430 \u043E\u0431\u043B\u0430\u0441\u0442\u044C \u043F\u0435\u0440\u0435\u0434 \u043F\u043E\u0441\u043B\u0435 \u0441\u0435\u0440\u0432\u0435\u0440 \u0442\u043E\u043B\u0441\u0442\u044B\u0439\u043A\u043B\u0438\u0435\u043D\u0442\u043E\u0431\u044B\u0447\u043D\u043E\u0435\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u0435 \u0442\u043E\u043B\u0441\u0442\u044B\u0439\u043A\u043B\u0438\u0435\u043D\u0442\u0443\u043F\u0440\u0430\u0432\u043B\u044F\u0435\u043C\u043E\u0435\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u0435 \u0442\u043E\u043D\u043A\u0438\u0439\u043A\u043B\u0438\u0435\u043D\u0442 ";
        const METAKEYWORD = v7_meta_keywords + v8_meta_keywords;
        const v7_system_constants = "\u0440\u0430\u0437\u0434\u0435\u043B\u0438\u0442\u0435\u043B\u044C\u0441\u0442\u0440\u0430\u043D\u0438\u0446 \u0440\u0430\u0437\u0434\u0435\u043B\u0438\u0442\u0435\u043B\u044C\u0441\u0442\u0440\u043E\u043A \u0441\u0438\u043C\u0432\u043E\u043B\u0442\u0430\u0431\u0443\u043B\u044F\u0446\u0438\u0438 ";
        const v7_global_context_methods = "ansitooem oemtoansi \u0432\u0432\u0435\u0441\u0442\u0438\u0432\u0438\u0434\u0441\u0443\u0431\u043A\u043E\u043D\u0442\u043E \u0432\u0432\u0435\u0441\u0442\u0438\u043F\u0435\u0440\u0435\u0447\u0438\u0441\u043B\u0435\u043D\u0438\u0435 \u0432\u0432\u0435\u0441\u0442\u0438\u043F\u0435\u0440\u0438\u043E\u0434 \u0432\u0432\u0435\u0441\u0442\u0438\u043F\u043B\u0430\u043D\u0441\u0447\u0435\u0442\u043E\u0432 \u0432\u044B\u0431\u0440\u0430\u043D\u043D\u044B\u0439\u043F\u043B\u0430\u043D\u0441\u0447\u0435\u0442\u043E\u0432 \u0434\u0430\u0442\u0430\u0433\u043E\u0434 \u0434\u0430\u0442\u0430\u043C\u0435\u0441\u044F\u0446 \u0434\u0430\u0442\u0430\u0447\u0438\u0441\u043B\u043E \u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043E\u043A\u0441\u0438\u0441\u0442\u0435\u043C\u044B \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0432\u0441\u0442\u0440\u043E\u043A\u0443 \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0438\u0437\u0441\u0442\u0440\u043E\u043A\u0438 \u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0438\u0431 \u043A\u0430\u0442\u0430\u043B\u043E\u0433\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u043A\u043E\u0434\u0441\u0438\u043C\u0432 \u043A\u043E\u043D\u0433\u043E\u0434\u0430 \u043A\u043E\u043D\u0435\u0446\u043F\u0435\u0440\u0438\u043E\u0434\u0430\u0431\u0438 \u043A\u043E\u043D\u0435\u0446\u0440\u0430\u0441\u0441\u0447\u0438\u0442\u0430\u043D\u043D\u043E\u0433\u043E\u043F\u0435\u0440\u0438\u043E\u0434\u0430\u0431\u0438 \u043A\u043E\u043D\u0435\u0446\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0433\u043E\u0438\u043D\u0442\u0435\u0440\u0432\u0430\u043B\u0430 \u043A\u043E\u043D\u043A\u0432\u0430\u0440\u0442\u0430\u043B\u0430 \u043A\u043E\u043D\u043C\u0435\u0441\u044F\u0446\u0430 \u043A\u043E\u043D\u043D\u0435\u0434\u0435\u043B\u0438 \u043B\u043E\u0433 \u043B\u043E\u043310 \u043C\u0430\u043A\u0441\u0438\u043C\u0430\u043B\u044C\u043D\u043E\u0435\u043A\u043E\u043B\u0438\u0447\u0435\u0441\u0442\u0432\u043E\u0441\u0443\u0431\u043A\u043E\u043D\u0442\u043E \u043D\u0430\u0437\u0432\u0430\u043D\u0438\u0435\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430 \u043D\u0430\u0437\u0432\u0430\u043D\u0438\u0435\u043D\u0430\u0431\u043E\u0440\u0430\u043F\u0440\u0430\u0432 \u043D\u0430\u0437\u043D\u0430\u0447\u0438\u0442\u044C\u0432\u0438\u0434 \u043D\u0430\u0437\u043D\u0430\u0447\u0438\u0442\u044C\u0441\u0447\u0435\u0442 \u043D\u0430\u0439\u0442\u0438\u0441\u0441\u044B\u043B\u043A\u0438 \u043D\u0430\u0447\u0430\u043B\u043E\u043F\u0435\u0440\u0438\u043E\u0434\u0430\u0431\u0438 \u043D\u0430\u0447\u0430\u043B\u043E\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0433\u043E\u0438\u043D\u0442\u0435\u0440\u0432\u0430\u043B\u0430 \u043D\u0430\u0447\u0433\u043E\u0434\u0430 \u043D\u0430\u0447\u043A\u0432\u0430\u0440\u0442\u0430\u043B\u0430 \u043D\u0430\u0447\u043C\u0435\u0441\u044F\u0446\u0430 \u043D\u0430\u0447\u043D\u0435\u0434\u0435\u043B\u0438 \u043D\u043E\u043C\u0435\u0440\u0434\u043D\u044F\u0433\u043E\u0434\u0430 \u043D\u043E\u043C\u0435\u0440\u0434\u043D\u044F\u043D\u0435\u0434\u0435\u043B\u0438 \u043D\u043E\u043C\u0435\u0440\u043D\u0435\u0434\u0435\u043B\u0438\u0433\u043E\u0434\u0430 \u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0430\u043E\u0436\u0438\u0434\u0430\u043D\u0438\u044F \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0439\u0436\u0443\u0440\u043D\u0430\u043B\u0440\u0430\u0441\u0447\u0435\u0442\u043E\u0432 \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0439\u043F\u043B\u0430\u043D\u0441\u0447\u0435\u0442\u043E\u0432 \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0439\u044F\u0437\u044B\u043A \u043E\u0447\u0438\u0441\u0442\u0438\u0442\u044C\u043E\u043A\u043D\u043E\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u0439 \u043F\u0435\u0440\u0438\u043E\u0434\u0441\u0442\u0440 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0432\u0440\u0435\u043C\u044F\u0442\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0434\u0430\u0442\u0443\u0442\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0442\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u043E\u0442\u0431\u043E\u0440\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043F\u043E\u0437\u0438\u0446\u0438\u044E\u0442\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043F\u0443\u0441\u0442\u043E\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0442\u0430 \u043F\u0440\u0435\u0444\u0438\u043A\u0441\u0430\u0432\u0442\u043E\u043D\u0443\u043C\u0435\u0440\u0430\u0446\u0438\u0438 \u043F\u0440\u043E\u043F\u0438\u0441\u044C \u043F\u0443\u0441\u0442\u043E\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u0440\u0430\u0437\u043C \u0440\u0430\u0437\u043E\u0431\u0440\u0430\u0442\u044C\u043F\u043E\u0437\u0438\u0446\u0438\u044E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0440\u0430\u0441\u0441\u0447\u0438\u0442\u0430\u0442\u044C\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u044B\u043D\u0430 \u0440\u0430\u0441\u0441\u0447\u0438\u0442\u0430\u0442\u044C\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u044B\u043F\u043E \u0441\u0438\u043C\u0432 \u0441\u043E\u0437\u0434\u0430\u0442\u044C\u043E\u0431\u044A\u0435\u043A\u0442 \u0441\u0442\u0430\u0442\u0443\u0441\u0432\u043E\u0437\u0432\u0440\u0430\u0442\u0430 \u0441\u0442\u0440\u043A\u043E\u043B\u0438\u0447\u0435\u0441\u0442\u0432\u043E\u0441\u0442\u0440\u043E\u043A \u0441\u0444\u043E\u0440\u043C\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u043F\u043E\u0437\u0438\u0446\u0438\u044E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0441\u0447\u0435\u0442\u043F\u043E\u043A\u043E\u0434\u0443 \u0442\u0435\u043A\u0443\u0449\u0435\u0435\u0432\u0440\u0435\u043C\u044F \u0442\u0438\u043F\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F \u0442\u0438\u043F\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u0441\u0442\u0440 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0442\u0430\u043D\u0430 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0442\u0430\u043F\u043E \u0444\u0438\u043A\u0441\u0448\u0430\u0431\u043B\u043E\u043D \u0448\u0430\u0431\u043B\u043E\u043D ";
        const v8_global_context_methods = "acos asin atan base64\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 base64\u0441\u0442\u0440\u043E\u043A\u0430 cos exp log log10 pow sin sqrt tan xml\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 xml\u0441\u0442\u0440\u043E\u043A\u0430 xml\u0442\u0438\u043F xml\u0442\u0438\u043F\u0437\u043D\u0447 \u0430\u043A\u0442\u0438\u0432\u043D\u043E\u0435\u043E\u043A\u043D\u043E \u0431\u0435\u0437\u043E\u043F\u0430\u0441\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C \u0431\u0435\u0437\u043E\u043F\u0430\u0441\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C\u0440\u0430\u0437\u0434\u0435\u043B\u0435\u043D\u0438\u044F\u0434\u0430\u043D\u043D\u044B\u0445 \u0431\u0443\u043B\u0435\u0432\u043E \u0432\u0432\u0435\u0441\u0442\u0438\u0434\u0430\u0442\u0443 \u0432\u0432\u0435\u0441\u0442\u0438\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u0432\u0432\u0435\u0441\u0442\u0438\u0441\u0442\u0440\u043E\u043A\u0443 \u0432\u0432\u0435\u0441\u0442\u0438\u0447\u0438\u0441\u043B\u043E \u0432\u043E\u0437\u043C\u043E\u0436\u043D\u043E\u0441\u0442\u044C\u0447\u0442\u0435\u043D\u0438\u044Fxml \u0432\u043E\u043F\u0440\u043E\u0441 \u0432\u043E\u0441\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u0432\u0440\u0435\u0433 \u0432\u044B\u0433\u0440\u0443\u0437\u0438\u0442\u044C\u0436\u0443\u0440\u043D\u0430\u043B\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u0432\u044B\u043F\u043E\u043B\u043D\u0438\u0442\u044C\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0443\u043E\u043F\u043E\u0432\u0435\u0449\u0435\u043D\u0438\u044F \u0432\u044B\u043F\u043E\u043B\u043D\u0438\u0442\u044C\u043F\u0440\u043E\u0432\u0435\u0440\u043A\u0443\u043F\u0440\u0430\u0432\u0434\u043E\u0441\u0442\u0443\u043F\u0430 \u0432\u044B\u0447\u0438\u0441\u043B\u0438\u0442\u044C \u0433\u043E\u0434 \u0434\u0430\u043D\u043D\u044B\u0435\u0444\u043E\u0440\u043C\u044B\u0432\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u0434\u0430\u0442\u0430 \u0434\u0435\u043D\u044C \u0434\u0435\u043D\u044C\u0433\u043E\u0434\u0430 \u0434\u0435\u043D\u044C\u043D\u0435\u0434\u0435\u043B\u0438 \u0434\u043E\u0431\u0430\u0432\u0438\u0442\u044C\u043C\u0435\u0441\u044F\u0446 \u0437\u0430\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0434\u0430\u043D\u043D\u044B\u0435\u0434\u043B\u044F\u0440\u0435\u0434\u0430\u043A\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u0437\u0430\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0440\u0430\u0431\u043E\u0442\u0443\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u0437\u0430\u0432\u0435\u0440\u0448\u0438\u0442\u044C\u0440\u0430\u0431\u043E\u0442\u0443\u0441\u0438\u0441\u0442\u0435\u043C\u044B \u0437\u0430\u0433\u0440\u0443\u0437\u0438\u0442\u044C\u0432\u043D\u0435\u0448\u043D\u044E\u044E\u043A\u043E\u043C\u043F\u043E\u043D\u0435\u043D\u0442\u0443 \u0437\u0430\u043A\u0440\u044B\u0442\u044C\u0441\u043F\u0440\u0430\u0432\u043A\u0443 \u0437\u0430\u043F\u0438\u0441\u0430\u0442\u044Cjson \u0437\u0430\u043F\u0438\u0441\u0430\u0442\u044Cxml \u0437\u0430\u043F\u0438\u0441\u0430\u0442\u044C\u0434\u0430\u0442\u0443json \u0437\u0430\u043F\u0438\u0441\u044C\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u0437\u0430\u043F\u043E\u043B\u043D\u0438\u0442\u044C\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u0441\u0432\u043E\u0439\u0441\u0442\u0432 \u0437\u0430\u043F\u0440\u043E\u0441\u0438\u0442\u044C\u0440\u0430\u0437\u0440\u0435\u0448\u0435\u043D\u0438\u0435\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u0437\u0430\u043F\u0443\u0441\u0442\u0438\u0442\u044C\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u0435 \u0437\u0430\u043F\u0443\u0441\u0442\u0438\u0442\u044C\u0441\u0438\u0441\u0442\u0435\u043C\u0443 \u0437\u0430\u0444\u0438\u043A\u0441\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0442\u0440\u0430\u043D\u0437\u0430\u043A\u0446\u0438\u044E \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0432\u0434\u0430\u043D\u043D\u044B\u0435\u0444\u043E\u0440\u043C\u044B \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0432\u0441\u0442\u0440\u043E\u043A\u0443\u0432\u043D\u0443\u0442\u0440 \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0432\u0444\u0430\u0439\u043B \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0437\u0430\u043F\u043E\u043B\u043D\u0435\u043D\u043E \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0438\u0437\u0441\u0442\u0440\u043E\u043A\u0438\u0432\u043D\u0443\u0442\u0440 \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0438\u0437\u0444\u0430\u0439\u043B\u0430 \u0438\u0437xml\u0442\u0438\u043F\u0430 \u0438\u043C\u043F\u043E\u0440\u0442\u043C\u043E\u0434\u0435\u043B\u0438xdto \u0438\u043C\u044F\u043A\u043E\u043C\u043F\u044C\u044E\u0442\u0435\u0440\u0430 \u0438\u043C\u044F\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u0438\u043D\u0438\u0446\u0438\u0430\u043B\u0438\u0437\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u043F\u0440\u0435\u0434\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u043D\u044B\u0435\u0434\u0430\u043D\u043D\u044B\u0435 \u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u044F\u043E\u0431\u043E\u0448\u0438\u0431\u043A\u0435 \u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0431\u0438\u0431\u043B\u0438\u043E\u0442\u0435\u043A\u0438\u043C\u043E\u0431\u0438\u043B\u044C\u043D\u043E\u0433\u043E\u0443\u0441\u0442\u0440\u043E\u0439\u0441\u0442\u0432\u0430 \u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0432\u0440\u0435\u043C\u0435\u043D\u043D\u044B\u0445\u0444\u0430\u0439\u043B\u043E\u0432 \u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u043E\u0432 \u043A\u0430\u0442\u0430\u043B\u043E\u0433\u043F\u0440\u043E\u0433\u0440\u0430\u043C\u043C\u044B \u043A\u043E\u0434\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0441\u0442\u0440\u043E\u043A\u0443 \u043A\u043E\u0434\u043B\u043E\u043A\u0430\u043B\u0438\u0437\u0430\u0446\u0438\u0438\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043A\u043E\u0434\u0441\u0438\u043C\u0432\u043E\u043B\u0430 \u043A\u043E\u043C\u0430\u043D\u0434\u0430\u0441\u0438\u0441\u0442\u0435\u043C\u044B \u043A\u043E\u043D\u0435\u0446\u0433\u043E\u0434\u0430 \u043A\u043E\u043D\u0435\u0446\u0434\u043D\u044F \u043A\u043E\u043D\u0435\u0446\u043A\u0432\u0430\u0440\u0442\u0430\u043B\u0430 \u043A\u043E\u043D\u0435\u0446\u043C\u0435\u0441\u044F\u0446\u0430 \u043A\u043E\u043D\u0435\u0446\u043C\u0438\u043D\u0443\u0442\u044B \u043A\u043E\u043D\u0435\u0446\u043D\u0435\u0434\u0435\u043B\u0438 \u043A\u043E\u043D\u0435\u0446\u0447\u0430\u0441\u0430 \u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u044F\u0431\u0430\u0437\u044B\u0434\u0430\u043D\u043D\u044B\u0445\u0438\u0437\u043C\u0435\u043D\u0435\u043D\u0430\u0434\u0438\u043D\u0430\u043C\u0438\u0447\u0435\u0441\u043A\u0438 \u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u044F\u0438\u0437\u043C\u0435\u043D\u0435\u043D\u0430 \u043A\u043E\u043F\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0434\u0430\u043D\u043D\u044B\u0435\u0444\u043E\u0440\u043C\u044B \u043A\u043E\u043F\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0444\u0430\u0439\u043B \u043A\u0440\u0430\u0442\u043A\u043E\u0435\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043E\u0448\u0438\u0431\u043A\u0438 \u043B\u0435\u0432 \u043C\u0430\u043A\u0441 \u043C\u0435\u0441\u0442\u043D\u043E\u0435\u0432\u0440\u0435\u043C\u044F \u043C\u0435\u0441\u044F\u0446 \u043C\u0438\u043D \u043C\u0438\u043D\u0443\u0442\u0430 \u043C\u043E\u043D\u043E\u043F\u043E\u043B\u044C\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C \u043D\u0430\u0439\u0442\u0438 \u043D\u0430\u0439\u0442\u0438\u043D\u0435\u0434\u043E\u043F\u0443\u0441\u0442\u0438\u043C\u044B\u0435\u0441\u0438\u043C\u0432\u043E\u043B\u044Bxml \u043D\u0430\u0439\u0442\u0438\u043E\u043A\u043D\u043E\u043F\u043E\u043D\u0430\u0432\u0438\u0433\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0441\u0441\u044B\u043B\u043A\u0435 \u043D\u0430\u0439\u0442\u0438\u043F\u043E\u043C\u0435\u0447\u0435\u043D\u043D\u044B\u0435\u043D\u0430\u0443\u0434\u0430\u043B\u0435\u043D\u0438\u0435 \u043D\u0430\u0439\u0442\u0438\u043F\u043E\u0441\u0441\u044B\u043B\u043A\u0430\u043C \u043D\u0430\u0439\u0442\u0438\u0444\u0430\u0439\u043B\u044B \u043D\u0430\u0447\u0430\u043B\u043E\u0433\u043E\u0434\u0430 \u043D\u0430\u0447\u0430\u043B\u043E\u0434\u043D\u044F \u043D\u0430\u0447\u0430\u043B\u043E\u043A\u0432\u0430\u0440\u0442\u0430\u043B\u0430 \u043D\u0430\u0447\u0430\u043B\u043E\u043C\u0435\u0441\u044F\u0446\u0430 \u043D\u0430\u0447\u0430\u043B\u043E\u043C\u0438\u043D\u0443\u0442\u044B \u043D\u0430\u0447\u0430\u043B\u043E\u043D\u0435\u0434\u0435\u043B\u0438 \u043D\u0430\u0447\u0430\u043B\u043E\u0447\u0430\u0441\u0430 \u043D\u0430\u0447\u0430\u0442\u044C\u0437\u0430\u043F\u0440\u043E\u0441\u0440\u0430\u0437\u0440\u0435\u0448\u0435\u043D\u0438\u044F\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u043D\u0430\u0447\u0430\u0442\u044C\u0437\u0430\u043F\u0443\u0441\u043A\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u043D\u0430\u0447\u0430\u0442\u044C\u043A\u043E\u043F\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u0435\u0444\u0430\u0439\u043B\u0430 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u0435\u0440\u0435\u043C\u0435\u0449\u0435\u043D\u0438\u0435\u0444\u0430\u0439\u043B\u0430 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435\u0432\u043D\u0435\u0448\u043D\u0435\u0439\u043A\u043E\u043C\u043F\u043E\u043D\u0435\u043D\u0442\u044B \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u044F\u0440\u0430\u0431\u043E\u0442\u044B\u0441\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0435\u0439 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u044F\u0440\u0430\u0431\u043E\u0442\u044B\u0441\u0444\u0430\u0439\u043B\u0430\u043C\u0438 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u0438\u0441\u043A\u0444\u0430\u0439\u043B\u043E\u0432 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u043B\u0443\u0447\u0435\u043D\u0438\u0435\u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0430\u0432\u0440\u0435\u043C\u0435\u043D\u043D\u044B\u0445\u0444\u0430\u0439\u043B\u043E\u0432 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u043B\u0443\u0447\u0435\u043D\u0438\u0435\u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0430\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u043E\u0432 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u043B\u0443\u0447\u0435\u043D\u0438\u0435\u0440\u0430\u0431\u043E\u0447\u0435\u0433\u043E\u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u043B\u0443\u0447\u0435\u043D\u0438\u0435\u0444\u0430\u0439\u043B\u043E\u0432 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u043C\u0435\u0449\u0435\u043D\u0438\u0435\u0444\u0430\u0439\u043B\u0430 \u043D\u0430\u0447\u0430\u0442\u044C\u043F\u043E\u043C\u0435\u0449\u0435\u043D\u0438\u0435\u0444\u0430\u0439\u043B\u043E\u0432 \u043D\u0430\u0447\u0430\u0442\u044C\u0441\u043E\u0437\u0434\u0430\u043D\u0438\u0435\u0434\u0432\u043E\u0438\u0447\u043D\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445\u0438\u0437\u0444\u0430\u0439\u043B\u0430 \u043D\u0430\u0447\u0430\u0442\u044C\u0441\u043E\u0437\u0434\u0430\u043D\u0438\u0435\u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0430 \u043D\u0430\u0447\u0430\u0442\u044C\u0442\u0440\u0430\u043D\u0437\u0430\u043A\u0446\u0438\u044E \u043D\u0430\u0447\u0430\u0442\u044C\u0443\u0434\u0430\u043B\u0435\u043D\u0438\u0435\u0444\u0430\u0439\u043B\u043E\u0432 \u043D\u0430\u0447\u0430\u0442\u044C\u0443\u0441\u0442\u0430\u043D\u043E\u0432\u043A\u0443\u0432\u043D\u0435\u0448\u043D\u0435\u0439\u043A\u043E\u043C\u043F\u043E\u043D\u0435\u043D\u0442\u044B \u043D\u0430\u0447\u0430\u0442\u044C\u0443\u0441\u0442\u0430\u043D\u043E\u0432\u043A\u0443\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u044F\u0440\u0430\u0431\u043E\u0442\u044B\u0441\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0435\u0439 \u043D\u0430\u0447\u0430\u0442\u044C\u0443\u0441\u0442\u0430\u043D\u043E\u0432\u043A\u0443\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u044F\u0440\u0430\u0431\u043E\u0442\u044B\u0441\u0444\u0430\u0439\u043B\u0430\u043C\u0438 \u043D\u0435\u0434\u0435\u043B\u044F\u0433\u043E\u0434\u0430 \u043D\u0435\u043E\u0431\u0445\u043E\u0434\u0438\u043C\u043E\u0441\u0442\u044C\u0437\u0430\u0432\u0435\u0440\u0448\u0435\u043D\u0438\u044F\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F \u043D\u043E\u043C\u0435\u0440\u0441\u0435\u0430\u043D\u0441\u0430\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043D\u043E\u043C\u0435\u0440\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043D\u0440\u0435\u0433 \u043D\u0441\u0442\u0440 \u043E\u0431\u043D\u043E\u0432\u0438\u0442\u044C\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441 \u043E\u0431\u043D\u043E\u0432\u0438\u0442\u044C\u043D\u0443\u043C\u0435\u0440\u0430\u0446\u0438\u044E\u043E\u0431\u044A\u0435\u043A\u0442\u043E\u0432 \u043E\u0431\u043D\u043E\u0432\u0438\u0442\u044C\u043F\u043E\u0432\u0442\u043E\u0440\u043D\u043E\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u0443\u0435\u043C\u044B\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F \u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0430\u043F\u0440\u0435\u0440\u044B\u0432\u0430\u043D\u0438\u044F\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u043E\u0431\u044A\u0435\u0434\u0438\u043D\u0438\u0442\u044C\u0444\u0430\u0439\u043B\u044B \u043E\u043A\u0440 \u043E\u043F\u0438\u0441\u0430\u043D\u0438\u0435\u043E\u0448\u0438\u0431\u043A\u0438 \u043E\u043F\u043E\u0432\u0435\u0441\u0442\u0438\u0442\u044C \u043E\u043F\u043E\u0432\u0435\u0441\u0442\u0438\u0442\u044C\u043E\u0431\u0438\u0437\u043C\u0435\u043D\u0435\u043D\u0438\u0438 \u043E\u0442\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u0447\u0438\u043A\u0437\u0430\u043F\u0440\u043E\u0441\u0430\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u043A\u043B\u0438\u0435\u043D\u0442\u0430\u043B\u0438\u0446\u0435\u043D\u0437\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u043E\u0442\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u0447\u0438\u043A\u043E\u0436\u0438\u0434\u0430\u043D\u0438\u044F \u043E\u0442\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u0447\u0438\u043A\u043E\u043F\u043E\u0432\u0435\u0449\u0435\u043D\u0438\u044F \u043E\u0442\u043A\u0440\u044B\u0442\u044C\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u043E\u0442\u043A\u0440\u044B\u0442\u044C\u0438\u043D\u0434\u0435\u043A\u0441\u0441\u043F\u0440\u0430\u0432\u043A\u0438 \u043E\u0442\u043A\u0440\u044B\u0442\u044C\u0441\u043E\u0434\u0435\u0440\u0436\u0430\u043D\u0438\u0435\u0441\u043F\u0440\u0430\u0432\u043A\u0438 \u043E\u0442\u043A\u0440\u044B\u0442\u044C\u0441\u043F\u0440\u0430\u0432\u043A\u0443 \u043E\u0442\u043A\u0440\u044B\u0442\u044C\u0444\u043E\u0440\u043C\u0443 \u043E\u0442\u043A\u0440\u044B\u0442\u044C\u0444\u043E\u0440\u043C\u0443\u043C\u043E\u0434\u0430\u043B\u044C\u043D\u043E \u043E\u0442\u043C\u0435\u043D\u0438\u0442\u044C\u0442\u0440\u0430\u043D\u0437\u0430\u043A\u0446\u0438\u044E \u043E\u0447\u0438\u0441\u0442\u0438\u0442\u044C\u0436\u0443\u0440\u043D\u0430\u043B\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u043E\u0447\u0438\u0441\u0442\u0438\u0442\u044C\u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0438\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u043E\u0447\u0438\u0441\u0442\u0438\u0442\u044C\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u044B\u0434\u043E\u0441\u0442\u0443\u043F\u0430 \u043F\u0435\u0440\u0435\u0439\u0442\u0438\u043F\u043E\u043D\u0430\u0432\u0438\u0433\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0441\u0441\u044B\u043B\u043A\u0435 \u043F\u0435\u0440\u0435\u043C\u0435\u0441\u0442\u0438\u0442\u044C\u0444\u0430\u0439\u043B \u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u0432\u043D\u0435\u0448\u043D\u044E\u044E\u043A\u043E\u043C\u043F\u043E\u043D\u0435\u043D\u0442\u0443 \u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u0447\u0438\u043A\u0437\u0430\u043F\u0440\u043E\u0441\u0430\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u043A\u043B\u0438\u0435\u043D\u0442\u0430\u043B\u0438\u0446\u0435\u043D\u0437\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u0447\u0438\u043A\u043E\u0436\u0438\u0434\u0430\u043D\u0438\u044F \u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u0447\u0438\u043A\u043E\u043F\u043E\u0432\u0435\u0449\u0435\u043D\u0438\u044F \u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u0435\u0440\u0430\u0431\u043E\u0442\u044B\u0441\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0435\u0439 \u043F\u043E\u0434\u043A\u043B\u044E\u0447\u0438\u0442\u044C\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u0435\u0440\u0430\u0431\u043E\u0442\u044B\u0441\u0444\u0430\u0439\u043B\u0430\u043C\u0438 \u043F\u043E\u0434\u0440\u043E\u0431\u043D\u043E\u0435\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043E\u0448\u0438\u0431\u043A\u0438 \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u0432\u0432\u043E\u0434\u0434\u0430\u0442\u044B \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u0432\u0432\u043E\u0434\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u0432\u0432\u043E\u0434\u0441\u0442\u0440\u043E\u043A\u0438 \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u0432\u0432\u043E\u0434\u0447\u0438\u0441\u043B\u0430 \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u0432\u043E\u043F\u0440\u043E\u0441 \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u044E\u043E\u0431\u043E\u0448\u0438\u0431\u043A\u0435 \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u043D\u0430\u043A\u0430\u0440\u0442\u0435 \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u043E\u043F\u043E\u0432\u0435\u0449\u0435\u043D\u0438\u0435\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u043F\u043E\u043A\u0430\u0437\u0430\u0442\u044C\u043F\u0440\u0435\u0434\u0443\u043F\u0440\u0435\u0436\u0434\u0435\u043D\u0438\u0435 \u043F\u043E\u043B\u043D\u043E\u0435\u0438\u043C\u044F\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044Ccom\u043E\u0431\u044A\u0435\u043A\u0442 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044Cxml\u0442\u0438\u043F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0430\u0434\u0440\u0435\u0441\u043F\u043E\u043C\u0435\u0441\u0442\u043E\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u044E \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u043A\u0443\u0441\u0435\u0430\u043D\u0441\u043E\u0432 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0432\u0440\u0435\u043C\u044F\u0437\u0430\u0432\u0435\u0440\u0448\u0435\u043D\u0438\u044F\u0441\u043F\u044F\u0449\u0435\u0433\u043E\u0441\u0435\u0430\u043D\u0441\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0432\u0440\u0435\u043C\u044F\u0437\u0430\u0441\u044B\u043F\u0430\u043D\u0438\u044F\u043F\u0430\u0441\u0441\u0438\u0432\u043D\u043E\u0433\u043E\u0441\u0435\u0430\u043D\u0441\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0432\u0440\u0435\u043C\u044F\u043E\u0436\u0438\u0434\u0430\u043D\u0438\u044F\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0434\u0430\u043D\u043D\u044B\u0435\u0432\u044B\u0431\u043E\u0440\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0434\u043E\u043F\u043E\u043B\u043D\u0438\u0442\u0435\u043B\u044C\u043D\u044B\u0439\u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u043A\u043B\u0438\u0435\u043D\u0442\u0430\u043B\u0438\u0446\u0435\u043D\u0437\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0434\u043E\u043F\u0443\u0441\u0442\u0438\u043C\u044B\u0435\u043A\u043E\u0434\u044B\u043B\u043E\u043A\u0430\u043B\u0438\u0437\u0430\u0446\u0438\u0438 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0434\u043E\u043F\u0443\u0441\u0442\u0438\u043C\u044B\u0435\u0447\u0430\u0441\u043E\u0432\u044B\u0435\u043F\u043E\u044F\u0441\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043E\u043A\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043E\u043A\u0441\u0438\u0441\u0442\u0435\u043C\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u043E\u0442\u0431\u043E\u0440\u0430\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0438\u0434\u0435\u043D\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0440\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0438\u0437\u0432\u0440\u0435\u043C\u0435\u043D\u043D\u043E\u0433\u043E\u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0438\u043C\u044F\u0432\u0440\u0435\u043C\u0435\u043D\u043D\u043E\u0433\u043E\u0444\u0430\u0439\u043B\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0438\u043C\u044F\u043A\u043B\u0438\u0435\u043D\u0442\u0430\u043B\u0438\u0446\u0435\u043D\u0437\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u044E\u044D\u043A\u0440\u0430\u043D\u043E\u0432\u043A\u043B\u0438\u0435\u043D\u0442\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0441\u043E\u0431\u044B\u0442\u0438\u044F\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043A\u0440\u0430\u0442\u043A\u0438\u0439\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043E\u043A\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043C\u0430\u043A\u0435\u0442\u043E\u0444\u043E\u0440\u043C\u043B\u0435\u043D\u0438\u044F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043C\u0430\u0441\u043A\u0443\u0432\u0441\u0435\u0444\u0430\u0439\u043B\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043C\u0430\u0441\u043A\u0443\u0432\u0441\u0435\u0444\u0430\u0439\u043B\u044B\u043A\u043B\u0438\u0435\u043D\u0442\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043C\u0430\u0441\u043A\u0443\u0432\u0441\u0435\u0444\u0430\u0439\u043B\u044B\u0441\u0435\u0440\u0432\u0435\u0440\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043C\u0435\u0441\u0442\u043E\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043F\u043E\u0430\u0434\u0440\u0435\u0441\u0443 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043C\u0438\u043D\u0438\u043C\u0430\u043B\u044C\u043D\u0443\u044E\u0434\u043B\u0438\u043D\u0443\u043F\u0430\u0440\u043E\u043B\u0435\u0439\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u0435\u0439 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043D\u0430\u0432\u0438\u0433\u0430\u0446\u0438\u043E\u043D\u043D\u0443\u044E\u0441\u0441\u044B\u043B\u043A\u0443 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043D\u0430\u0432\u0438\u0433\u0430\u0446\u0438\u043E\u043D\u043D\u0443\u044E\u0441\u0441\u044B\u043B\u043A\u0443\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u0435\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438\u0431\u0430\u0437\u044B\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0440\u0435\u0434\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u043D\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043E\u0431\u0449\u0438\u0439\u043C\u0430\u043A\u0435\u0442 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043E\u0431\u0449\u0443\u044E\u0444\u043E\u0440\u043C\u0443 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043E\u043A\u043D\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043E\u043F\u0435\u0440\u0430\u0442\u0438\u0432\u043D\u0443\u044E\u043E\u0442\u043C\u0435\u0442\u043A\u0443\u0432\u0440\u0435\u043C\u0435\u043D\u0438 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043E\u0442\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435\u0431\u0435\u0437\u043E\u043F\u0430\u0441\u043D\u043E\u0433\u043E\u0440\u0435\u0436\u0438\u043C\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u044B\u0444\u0443\u043D\u043A\u0446\u0438\u043E\u043D\u0430\u043B\u044C\u043D\u044B\u0445\u043E\u043F\u0446\u0438\u0439\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043F\u043E\u043B\u043D\u043E\u0435\u0438\u043C\u044F\u043F\u0440\u0435\u0434\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u043D\u043E\u0433\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u044F\u043D\u0430\u0432\u0438\u0433\u0430\u0446\u0438\u043E\u043D\u043D\u044B\u0445\u0441\u0441\u044B\u043B\u043E\u043A \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u043F\u0440\u043E\u0432\u0435\u0440\u043A\u0443\u0441\u043B\u043E\u0436\u043D\u043E\u0441\u0442\u0438\u043F\u0430\u0440\u043E\u043B\u0435\u0439\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u0435\u0439 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0440\u0430\u0437\u0434\u0435\u043B\u0438\u0442\u0435\u043B\u044C\u043F\u0443\u0442\u0438 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0440\u0430\u0437\u0434\u0435\u043B\u0438\u0442\u0435\u043B\u044C\u043F\u0443\u0442\u0438\u043A\u043B\u0438\u0435\u043D\u0442\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0440\u0430\u0437\u0434\u0435\u043B\u0438\u0442\u0435\u043B\u044C\u043F\u0443\u0442\u0438\u0441\u0435\u0440\u0432\u0435\u0440\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0441\u0435\u0430\u043D\u0441\u044B\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0441\u043A\u043E\u0440\u043E\u0441\u0442\u044C\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044E \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0441\u043E\u043E\u0442\u0432\u0435\u0442\u0441\u0442\u0432\u0438\u0435\u043E\u0431\u044A\u0435\u043A\u0442\u0430\u0438\u0444\u043E\u0440\u043C\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0441\u043E\u0441\u0442\u0430\u0432\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0433\u043E\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430odata \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0441\u0442\u0440\u0443\u043A\u0442\u0443\u0440\u0443\u0445\u0440\u0430\u043D\u0435\u043D\u0438\u044F\u0431\u0430\u0437\u044B\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0442\u0435\u043A\u0443\u0449\u0438\u0439\u0441\u0435\u0430\u043D\u0441\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0444\u0430\u0439\u043B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0444\u0430\u0439\u043B\u044B \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0444\u043E\u0440\u043C\u0443 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0444\u0443\u043D\u043A\u0446\u0438\u043E\u043D\u0430\u043B\u044C\u043D\u0443\u044E\u043E\u043F\u0446\u0438\u044E \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0444\u0443\u043D\u043A\u0446\u0438\u043E\u043D\u0430\u043B\u044C\u043D\u0443\u044E\u043E\u043F\u0446\u0438\u044E\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430 \u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0447\u0430\u0441\u043E\u0432\u043E\u0439\u043F\u043E\u044F\u0441\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u0438\u043E\u0441 \u043F\u043E\u043C\u0435\u0441\u0442\u0438\u0442\u044C\u0432\u043E\u0432\u0440\u0435\u043C\u0435\u043D\u043D\u043E\u0435\u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0435 \u043F\u043E\u043C\u0435\u0441\u0442\u0438\u0442\u044C\u0444\u0430\u0439\u043B \u043F\u043E\u043C\u0435\u0441\u0442\u0438\u0442\u044C\u0444\u0430\u0439\u043B\u044B \u043F\u0440\u0430\u0432 \u043F\u0440\u0430\u0432\u043E\u0434\u043E\u0441\u0442\u0443\u043F\u0430 \u043F\u0440\u0435\u0434\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u043D\u043E\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043A\u043E\u0434\u0430\u043B\u043E\u043A\u0430\u043B\u0438\u0437\u0430\u0446\u0438\u0438 \u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0435\u0440\u0438\u043E\u0434\u0430 \u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0440\u0430\u0432\u0430 \u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0441\u043E\u0431\u044B\u0442\u0438\u044F\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0447\u0430\u0441\u043E\u0432\u043E\u0433\u043E\u043F\u043E\u044F\u0441\u0430 \u043F\u0440\u0435\u0434\u0443\u043F\u0440\u0435\u0436\u0434\u0435\u043D\u0438\u0435 \u043F\u0440\u0435\u043A\u0440\u0430\u0442\u0438\u0442\u044C\u0440\u0430\u0431\u043E\u0442\u0443\u0441\u0438\u0441\u0442\u0435\u043C\u044B \u043F\u0440\u0438\u0432\u0438\u043B\u0435\u0433\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C \u043F\u0440\u043E\u0434\u043E\u043B\u0436\u0438\u0442\u044C\u0432\u044B\u0437\u043E\u0432 \u043F\u0440\u043E\u0447\u0438\u0442\u0430\u0442\u044Cjson \u043F\u0440\u043E\u0447\u0438\u0442\u0430\u0442\u044Cxml \u043F\u0440\u043E\u0447\u0438\u0442\u0430\u0442\u044C\u0434\u0430\u0442\u0443json \u043F\u0443\u0441\u0442\u0430\u044F\u0441\u0442\u0440\u043E\u043A\u0430 \u0440\u0430\u0431\u043E\u0447\u0438\u0439\u043A\u0430\u0442\u0430\u043B\u043E\u0433\u0434\u0430\u043D\u043D\u044B\u0445\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u0440\u0430\u0437\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0434\u0430\u043D\u043D\u044B\u0435\u0434\u043B\u044F\u0440\u0435\u0434\u0430\u043A\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u0440\u0430\u0437\u0434\u0435\u043B\u0438\u0442\u044C\u0444\u0430\u0439\u043B \u0440\u0430\u0437\u043E\u0440\u0432\u0430\u0442\u044C\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435\u0441\u0432\u043D\u0435\u0448\u043D\u0438\u043C\u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u043E\u043C\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043A\u043E\u0434\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0441\u0442\u0440\u043E\u043A\u0443 \u0440\u043E\u043B\u044C\u0434\u043E\u0441\u0442\u0443\u043F\u043D\u0430 \u0441\u0435\u043A\u0443\u043D\u0434\u0430 \u0441\u0438\u0433\u043D\u0430\u043B \u0441\u0438\u043C\u0432\u043E\u043B \u0441\u043A\u043E\u043F\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0436\u0443\u0440\u043D\u0430\u043B\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u0441\u043C\u0435\u0449\u0435\u043D\u0438\u0435\u043B\u0435\u0442\u043D\u0435\u0433\u043E\u0432\u0440\u0435\u043C\u0435\u043D\u0438 \u0441\u043C\u0435\u0449\u0435\u043D\u0438\u0435\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0433\u043E\u0432\u0440\u0435\u043C\u0435\u043D\u0438 \u0441\u043E\u0435\u0434\u0438\u043D\u0438\u0442\u044C\u0431\u0443\u0444\u0435\u0440\u044B\u0434\u0432\u043E\u0438\u0447\u043D\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u043E\u0437\u0434\u0430\u0442\u044C\u043A\u0430\u0442\u0430\u043B\u043E\u0433 \u0441\u043E\u0437\u0434\u0430\u0442\u044C\u0444\u0430\u0431\u0440\u0438\u043A\u0443xdto \u0441\u043E\u043A\u0440\u043B \u0441\u043E\u043A\u0440\u043B\u043F \u0441\u043E\u043A\u0440\u043F \u0441\u043E\u043E\u0431\u0449\u0438\u0442\u044C \u0441\u043E\u0441\u0442\u043E\u044F\u043D\u0438\u0435 \u0441\u043E\u0445\u0440\u0430\u043D\u0438\u0442\u044C\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435 \u0441\u043E\u0445\u0440\u0430\u043D\u0438\u0442\u044C\u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0438\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u0441\u0440\u0435\u0434 \u0441\u0442\u0440\u0434\u043B\u0438\u043D\u0430 \u0441\u0442\u0440\u0437\u0430\u043A\u0430\u043D\u0447\u0438\u0432\u0430\u0435\u0442\u0441\u044F\u043D\u0430 \u0441\u0442\u0440\u0437\u0430\u043C\u0435\u043D\u0438\u0442\u044C \u0441\u0442\u0440\u043D\u0430\u0439\u0442\u0438 \u0441\u0442\u0440\u043D\u0430\u0447\u0438\u043D\u0430\u0435\u0442\u0441\u044F\u0441 \u0441\u0442\u0440\u043E\u043A\u0430 \u0441\u0442\u0440\u043E\u043A\u0430\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u0441\u0442\u0440\u043F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0441\u0442\u0440\u043E\u043A\u0443 \u0441\u0442\u0440\u0440\u0430\u0437\u0434\u0435\u043B\u0438\u0442\u044C \u0441\u0442\u0440\u0441\u043E\u0435\u0434\u0438\u043D\u0438\u0442\u044C \u0441\u0442\u0440\u0441\u0440\u0430\u0432\u043D\u0438\u0442\u044C \u0441\u0442\u0440\u0447\u0438\u0441\u043B\u043E\u0432\u0445\u043E\u0436\u0434\u0435\u043D\u0438\u0439 \u0441\u0442\u0440\u0447\u0438\u0441\u043B\u043E\u0441\u0442\u0440\u043E\u043A \u0441\u0442\u0440\u0448\u0430\u0431\u043B\u043E\u043D \u0442\u0435\u043A\u0443\u0449\u0430\u044F\u0434\u0430\u0442\u0430 \u0442\u0435\u043A\u0443\u0449\u0430\u044F\u0434\u0430\u0442\u0430\u0441\u0435\u0430\u043D\u0441\u0430 \u0442\u0435\u043A\u0443\u0449\u0430\u044F\u0443\u043D\u0438\u0432\u0435\u0440\u0441\u0430\u043B\u044C\u043D\u0430\u044F\u0434\u0430\u0442\u0430 \u0442\u0435\u043A\u0443\u0449\u0430\u044F\u0443\u043D\u0438\u0432\u0435\u0440\u0441\u0430\u043B\u044C\u043D\u0430\u044F\u0434\u0430\u0442\u0430\u0432\u043C\u0438\u043B\u043B\u0438\u0441\u0435\u043A\u0443\u043D\u0434\u0430\u0445 \u0442\u0435\u043A\u0443\u0449\u0438\u0439\u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0442\u0435\u043A\u0443\u0449\u0438\u0439\u0432\u0430\u0440\u0438\u0430\u043D\u0442\u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0433\u043E\u0448\u0440\u0438\u0444\u0442\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0442\u0435\u043A\u0443\u0449\u0438\u0439\u043A\u043E\u0434\u043B\u043E\u043A\u0430\u043B\u0438\u0437\u0430\u0446\u0438\u0438 \u0442\u0435\u043A\u0443\u0449\u0438\u0439\u0440\u0435\u0436\u0438\u043C\u0437\u0430\u043F\u0443\u0441\u043A\u0430 \u0442\u0435\u043A\u0443\u0449\u0438\u0439\u044F\u0437\u044B\u043A \u0442\u0435\u043A\u0443\u0449\u0438\u0439\u044F\u0437\u044B\u043A\u0441\u0438\u0441\u0442\u0435\u043C\u044B \u0442\u0438\u043F \u0442\u0438\u043F\u0437\u043D\u0447 \u0442\u0440\u0430\u043D\u0437\u0430\u043A\u0446\u0438\u044F\u0430\u043A\u0442\u0438\u0432\u043D\u0430 \u0442\u0440\u0435\u0433 \u0443\u0434\u0430\u043B\u0438\u0442\u044C\u0434\u0430\u043D\u043D\u044B\u0435\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u0443\u0434\u0430\u043B\u0438\u0442\u044C\u0438\u0437\u0432\u0440\u0435\u043C\u0435\u043D\u043D\u043E\u0433\u043E\u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0430 \u0443\u0434\u0430\u043B\u0438\u0442\u044C\u043E\u0431\u044A\u0435\u043A\u0442\u044B \u0443\u0434\u0430\u043B\u0438\u0442\u044C\u0444\u0430\u0439\u043B\u044B \u0443\u043D\u0438\u0432\u0435\u0440\u0441\u0430\u043B\u044C\u043D\u043E\u0435\u0432\u0440\u0435\u043C\u044F \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0431\u0435\u0437\u043E\u043F\u0430\u0441\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0431\u0435\u0437\u043E\u043F\u0430\u0441\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C\u0440\u0430\u0437\u0434\u0435\u043B\u0435\u043D\u0438\u044F\u0434\u0430\u043D\u043D\u044B\u0445 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u043A\u0443\u0441\u0435\u0430\u043D\u0441\u043E\u0432 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0432\u043D\u0435\u0448\u043D\u044E\u044E\u043A\u043E\u043C\u043F\u043E\u043D\u0435\u043D\u0442\u0443 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0432\u0440\u0435\u043C\u044F\u0437\u0430\u0432\u0435\u0440\u0448\u0435\u043D\u0438\u044F\u0441\u043F\u044F\u0449\u0435\u0433\u043E\u0441\u0435\u0430\u043D\u0441\u0430 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0432\u0440\u0435\u043C\u044F\u0437\u0430\u0441\u044B\u043F\u0430\u043D\u0438\u044F\u043F\u0430\u0441\u0441\u0438\u0432\u043D\u043E\u0433\u043E\u0441\u0435\u0430\u043D\u0441\u0430 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0432\u0440\u0435\u043C\u044F\u043E\u0436\u0438\u0434\u0430\u043D\u0438\u044F\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043E\u043A\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043E\u043A\u0441\u0438\u0441\u0442\u0435\u043C\u044B \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0441\u043E\u0431\u044B\u0442\u0438\u044F\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043A\u0440\u0430\u0442\u043A\u0438\u0439\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043E\u043A\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043C\u0438\u043D\u0438\u043C\u0430\u043B\u044C\u043D\u0443\u044E\u0434\u043B\u0438\u043D\u0443\u043F\u0430\u0440\u043E\u043B\u0435\u0439\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u0435\u0439 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043C\u043E\u043D\u043E\u043F\u043E\u043B\u044C\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0438\u043A\u043B\u0438\u0435\u043D\u0442\u0430\u043B\u0438\u0446\u0435\u043D\u0437\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0440\u0435\u0434\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u043D\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043E\u0442\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435\u0431\u0435\u0437\u043E\u043F\u0430\u0441\u043D\u043E\u0433\u043E\u0440\u0435\u0436\u0438\u043C\u0430 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u044B\u0444\u0443\u043D\u043A\u0446\u0438\u043E\u043D\u0430\u043B\u044C\u043D\u044B\u0445\u043E\u043F\u0446\u0438\u0439\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043F\u0440\u0438\u0432\u0438\u043B\u0435\u0433\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u043F\u0440\u043E\u0432\u0435\u0440\u043A\u0443\u0441\u043B\u043E\u0436\u043D\u043E\u0441\u0442\u0438\u043F\u0430\u0440\u043E\u043B\u0435\u0439\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u0435\u0439 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u0435\u0440\u0430\u0431\u043E\u0442\u044B\u0441\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0435\u0439 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u0435\u0440\u0430\u0431\u043E\u0442\u044B\u0441\u0444\u0430\u0439\u043B\u0430\u043C\u0438 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435\u0441\u0432\u043D\u0435\u0448\u043D\u0438\u043C\u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u043E\u043C\u0434\u0430\u043D\u043D\u044B\u0445 \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0441\u043E\u043E\u0442\u0432\u0435\u0442\u0441\u0442\u0432\u0438\u0435\u043E\u0431\u044A\u0435\u043A\u0442\u0430\u0438\u0444\u043E\u0440\u043C\u044B \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0441\u043E\u0441\u0442\u0430\u0432\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0433\u043E\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430odata \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0447\u0430\u0441\u043E\u0432\u043E\u0439\u043F\u043E\u044F\u0441\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u0443\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C\u0447\u0430\u0441\u043E\u0432\u043E\u0439\u043F\u043E\u044F\u0441\u0441\u0435\u0430\u043D\u0441\u0430 \u0444\u043E\u0440\u043C\u0430\u0442 \u0446\u0435\u043B \u0447\u0430\u0441 \u0447\u0430\u0441\u043E\u0432\u043E\u0439\u043F\u043E\u044F\u0441 \u0447\u0430\u0441\u043E\u0432\u043E\u0439\u043F\u043E\u044F\u0441\u0441\u0435\u0430\u043D\u0441\u0430 \u0447\u0438\u0441\u043B\u043E \u0447\u0438\u0441\u043B\u043E\u043F\u0440\u043E\u043F\u0438\u0441\u044C\u044E \u044D\u0442\u043E\u0430\u0434\u0440\u0435\u0441\u0432\u0440\u0435\u043C\u0435\u043D\u043D\u043E\u0433\u043E\u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0430 ";
        const v8_global_context_property = "ws\u0441\u0441\u044B\u043B\u043A\u0438 \u0431\u0438\u0431\u043B\u0438\u043E\u0442\u0435\u043A\u0430\u043A\u0430\u0440\u0442\u0438\u043D\u043E\u043A \u0431\u0438\u0431\u043B\u0438\u043E\u0442\u0435\u043A\u0430\u043C\u0430\u043A\u0435\u0442\u043E\u0432\u043E\u0444\u043E\u0440\u043C\u043B\u0435\u043D\u0438\u044F\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0431\u0438\u0431\u043B\u0438\u043E\u0442\u0435\u043A\u0430\u0441\u0442\u0438\u043B\u0435\u0439 \u0431\u0438\u0437\u043D\u0435\u0441\u043F\u0440\u043E\u0446\u0435\u0441\u0441\u044B \u0432\u043D\u0435\u0448\u043D\u0438\u0435\u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0432\u043D\u0435\u0448\u043D\u0438\u0435\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0438 \u0432\u043D\u0435\u0448\u043D\u0438\u0435\u043E\u0442\u0447\u0435\u0442\u044B \u0432\u0441\u0442\u0440\u043E\u0435\u043D\u043D\u044B\u0435\u043F\u043E\u043A\u0443\u043F\u043A\u0438 \u0433\u043B\u0430\u0432\u043D\u044B\u0439\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441 \u0433\u043B\u0430\u0432\u043D\u044B\u0439\u0441\u0442\u0438\u043B\u044C \u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u044B \u0434\u043E\u0441\u0442\u0430\u0432\u043B\u044F\u0435\u043C\u044B\u0435\u0443\u0432\u0435\u0434\u043E\u043C\u043B\u0435\u043D\u0438\u044F \u0436\u0443\u0440\u043D\u0430\u043B\u044B\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u043E\u0432 \u0437\u0430\u0434\u0430\u0447\u0438 \u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u044F\u043E\u0431\u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0438 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0440\u0430\u0431\u043E\u0447\u0435\u0439\u0434\u0430\u0442\u044B \u0438\u0441\u0442\u043E\u0440\u0438\u044F\u0440\u0430\u0431\u043E\u0442\u044B\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u043A\u043E\u043D\u0441\u0442\u0430\u043D\u0442\u044B \u043A\u0440\u0438\u0442\u0435\u0440\u0438\u0438\u043E\u0442\u0431\u043E\u0440\u0430 \u043C\u0435\u0442\u0430\u0434\u0430\u043D\u043D\u044B\u0435 \u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0438 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0440\u0435\u043A\u043B\u0430\u043C\u044B \u043E\u0442\u043F\u0440\u0430\u0432\u043A\u0430\u0434\u043E\u0441\u0442\u0430\u0432\u043B\u044F\u0435\u043C\u044B\u0445\u0443\u0432\u0435\u0434\u043E\u043C\u043B\u0435\u043D\u0438\u0439 \u043E\u0442\u0447\u0435\u0442\u044B \u043F\u0430\u043D\u0435\u043B\u044C\u0437\u0430\u0434\u0430\u0447\u043E\u0441 \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u0437\u0430\u043F\u0443\u0441\u043A\u0430 \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u044B\u0441\u0435\u0430\u043D\u0441\u0430 \u043F\u0435\u0440\u0435\u0447\u0438\u0441\u043B\u0435\u043D\u0438\u044F \u043F\u043B\u0430\u043D\u044B\u0432\u0438\u0434\u043E\u0432\u0440\u0430\u0441\u0447\u0435\u0442\u0430 \u043F\u043B\u0430\u043D\u044B\u0432\u0438\u0434\u043E\u0432\u0445\u0430\u0440\u0430\u043A\u0442\u0435\u0440\u0438\u0441\u0442\u0438\u043A \u043F\u043B\u0430\u043D\u044B\u043E\u0431\u043C\u0435\u043D\u0430 \u043F\u043B\u0430\u043D\u044B\u0441\u0447\u0435\u0442\u043E\u0432 \u043F\u043E\u043B\u043D\u043E\u0442\u0435\u043A\u0441\u0442\u043E\u0432\u044B\u0439\u043F\u043E\u0438\u0441\u043A \u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u0438\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u043E\u043D\u043D\u043E\u0439\u0431\u0430\u0437\u044B \u043F\u043E\u0441\u043B\u0435\u0434\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u043D\u043E\u0441\u0442\u0438 \u043F\u0440\u043E\u0432\u0435\u0440\u043A\u0430\u0432\u0441\u0442\u0440\u043E\u0435\u043D\u043D\u044B\u0445\u043F\u043E\u043A\u0443\u043F\u043E\u043A \u0440\u0430\u0431\u043E\u0447\u0430\u044F\u0434\u0430\u0442\u0430 \u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u044F\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438 \u0440\u0435\u0433\u0438\u0441\u0442\u0440\u044B\u0431\u0443\u0445\u0433\u0430\u043B\u0442\u0435\u0440\u0438\u0438 \u0440\u0435\u0433\u0438\u0441\u0442\u0440\u044B\u043D\u0430\u043A\u043E\u043F\u043B\u0435\u043D\u0438\u044F \u0440\u0435\u0433\u0438\u0441\u0442\u0440\u044B\u0440\u0430\u0441\u0447\u0435\u0442\u0430 \u0440\u0435\u0433\u0438\u0441\u0442\u0440\u044B\u0441\u0432\u0435\u0434\u0435\u043D\u0438\u0439 \u0440\u0435\u0433\u043B\u0430\u043C\u0435\u043D\u0442\u043D\u044B\u0435\u0437\u0430\u0434\u0430\u043D\u0438\u044F \u0441\u0435\u0440\u0438\u0430\u043B\u0438\u0437\u0430\u0442\u043E\u0440xdto \u0441\u043F\u0440\u0430\u0432\u043E\u0447\u043D\u0438\u043A\u0438 \u0441\u0440\u0435\u0434\u0441\u0442\u0432\u0430\u0433\u0435\u043E\u043F\u043E\u0437\u0438\u0446\u0438\u043E\u043D\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u0441\u0440\u0435\u0434\u0441\u0442\u0432\u0430\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0438 \u0441\u0440\u0435\u0434\u0441\u0442\u0432\u0430\u043C\u0443\u043B\u044C\u0442\u0438\u043C\u0435\u0434\u0438\u0430 \u0441\u0440\u0435\u0434\u0441\u0442\u0432\u0430\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0440\u0435\u043A\u043B\u0430\u043C\u044B \u0441\u0440\u0435\u0434\u0441\u0442\u0432\u0430\u043F\u043E\u0447\u0442\u044B \u0441\u0440\u0435\u0434\u0441\u0442\u0432\u0430\u0442\u0435\u043B\u0435\u0444\u043E\u043D\u0438\u0438 \u0444\u0430\u0431\u0440\u0438\u043A\u0430xdto \u0444\u0430\u0439\u043B\u043E\u0432\u044B\u0435\u043F\u043E\u0442\u043E\u043A\u0438 \u0444\u043E\u043D\u043E\u0432\u044B\u0435\u0437\u0430\u0434\u0430\u043D\u0438\u044F \u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0430\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A \u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0435\u0432\u0430\u0440\u0438\u0430\u043D\u0442\u043E\u0432\u043E\u0442\u0447\u0435\u0442\u043E\u0432 \u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0435\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u0434\u0430\u043D\u043D\u044B\u0445\u0444\u043E\u0440\u043C \u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0435\u043E\u0431\u0449\u0438\u0445\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A \u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0435\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u0441\u043A\u0438\u0445\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u0434\u0438\u043D\u0430\u043C\u0438\u0447\u0435\u0441\u043A\u0438\u0445\u0441\u043F\u0438\u0441\u043A\u043E\u0432 \u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0435\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u0441\u043A\u0438\u0445\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u043E\u0442\u0447\u0435\u0442\u043E\u0432 \u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0435\u0441\u0438\u0441\u0442\u0435\u043C\u043D\u044B\u0445\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A ";
        const BUILTIN = v7_system_constants + v7_global_context_methods + v8_global_context_methods + v8_global_context_property;
        const v8_system_sets_of_values = "web\u0446\u0432\u0435\u0442\u0430 windows\u0446\u0432\u0435\u0442\u0430 windows\u0448\u0440\u0438\u0444\u0442\u044B \u0431\u0438\u0431\u043B\u0438\u043E\u0442\u0435\u043A\u0430\u043A\u0430\u0440\u0442\u0438\u043D\u043E\u043A \u0440\u0430\u043C\u043A\u0438\u0441\u0442\u0438\u043B\u044F \u0441\u0438\u043C\u0432\u043E\u043B\u044B \u0446\u0432\u0435\u0442\u0430\u0441\u0442\u0438\u043B\u044F \u0448\u0440\u0438\u0444\u0442\u044B\u0441\u0442\u0438\u043B\u044F ";
        const v8_system_enums_interface = "\u0430\u0432\u0442\u043E\u043C\u0430\u0442\u0438\u0447\u0435\u0441\u043A\u043E\u0435\u0441\u043E\u0445\u0440\u0430\u043D\u0435\u043D\u0438\u0435\u0434\u0430\u043D\u043D\u044B\u0445\u0444\u043E\u0440\u043C\u044B\u0432\u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0430\u0445 \u0430\u0432\u0442\u043E\u043D\u0443\u043C\u0435\u0440\u0430\u0446\u0438\u044F\u0432\u0444\u043E\u0440\u043C\u0435 \u0430\u0432\u0442\u043E\u0440\u0430\u0437\u0434\u0432\u0438\u0436\u0435\u043D\u0438\u0435\u0441\u0435\u0440\u0438\u0439 \u0430\u043D\u0438\u043C\u0430\u0446\u0438\u044F\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0432\u044B\u0440\u0430\u0432\u043D\u0438\u0432\u0430\u043D\u0438\u044F\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u043E\u0432\u0438\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043A\u043E\u0432 \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0443\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u044F\u0432\u044B\u0441\u043E\u0442\u043E\u0439\u0442\u0430\u0431\u043B\u0438\u0446\u044B \u0432\u0435\u0440\u0442\u0438\u043A\u0430\u043B\u044C\u043D\u0430\u044F\u043F\u0440\u043E\u043A\u0440\u0443\u0442\u043A\u0430\u0444\u043E\u0440\u043C\u044B \u0432\u0435\u0440\u0442\u0438\u043A\u0430\u043B\u044C\u043D\u043E\u0435\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435 \u0432\u0435\u0440\u0442\u0438\u043A\u0430\u043B\u044C\u043D\u043E\u0435\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430 \u0432\u0438\u0434\u0433\u0440\u0443\u043F\u043F\u044B\u0444\u043E\u0440\u043C\u044B \u0432\u0438\u0434\u0434\u0435\u043A\u043E\u0440\u0430\u0446\u0438\u0438\u0444\u043E\u0440\u043C\u044B \u0432\u0438\u0434\u0434\u043E\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u044F\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0444\u043E\u0440\u043C\u044B \u0432\u0438\u0434\u0438\u0437\u043C\u0435\u043D\u0435\u043D\u0438\u044F\u0434\u0430\u043D\u043D\u044B\u0445 \u0432\u0438\u0434\u043A\u043D\u043E\u043F\u043A\u0438\u0444\u043E\u0440\u043C\u044B \u0432\u0438\u0434\u043F\u0435\u0440\u0435\u043A\u043B\u044E\u0447\u0430\u0442\u0435\u043B\u044F \u0432\u0438\u0434\u043F\u043E\u0434\u043F\u0438\u0441\u0435\u0439\u043A\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u0435 \u0432\u0438\u0434\u043F\u043E\u043B\u044F\u0444\u043E\u0440\u043C\u044B \u0432\u0438\u0434\u0444\u043B\u0430\u0436\u043A\u0430 \u0432\u043B\u0438\u044F\u043D\u0438\u0435\u0440\u0430\u0437\u043C\u0435\u0440\u0430\u043D\u0430\u043F\u0443\u0437\u044B\u0440\u0435\u043A\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0433\u043E\u0440\u0438\u0437\u043E\u043D\u0442\u0430\u043B\u044C\u043D\u043E\u0435\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435 \u0433\u043E\u0440\u0438\u0437\u043E\u043D\u0442\u0430\u043B\u044C\u043D\u043E\u0435\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430 \u0433\u0440\u0443\u043F\u043F\u0438\u0440\u043E\u0432\u043A\u0430\u043A\u043E\u043B\u043E\u043D\u043E\u043A \u0433\u0440\u0443\u043F\u043F\u0438\u0440\u043E\u0432\u043A\u0430\u043F\u043E\u0434\u0447\u0438\u043D\u0435\u043D\u043D\u044B\u0445\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u043E\u0432\u0444\u043E\u0440\u043C\u044B \u0433\u0440\u0443\u043F\u043F\u044B\u0438\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u044B \u0434\u0435\u0439\u0441\u0442\u0432\u0438\u0435\u043F\u0435\u0440\u0435\u0442\u0430\u0441\u043A\u0438\u0432\u0430\u043D\u0438\u044F \u0434\u043E\u043F\u043E\u043B\u043D\u0438\u0442\u0435\u043B\u044C\u043D\u044B\u0439\u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F \u0434\u043E\u043F\u0443\u0441\u0442\u0438\u043C\u044B\u0435\u0434\u0435\u0439\u0441\u0442\u0432\u0438\u044F\u043F\u0435\u0440\u0435\u0442\u0430\u0441\u043A\u0438\u0432\u0430\u043D\u0438\u044F \u0438\u043D\u0442\u0435\u0440\u0432\u0430\u043B\u043C\u0435\u0436\u0434\u0443\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u043C\u0438\u0444\u043E\u0440\u043C\u044B \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0432\u044B\u0432\u043E\u0434\u0430 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u043F\u043E\u043B\u043E\u0441\u044B\u043F\u0440\u043E\u043A\u0440\u0443\u0442\u043A\u0438 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u0443\u0435\u043C\u043E\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0442\u043E\u0447\u043A\u0438\u0431\u0438\u0440\u0436\u0435\u0432\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0438\u0441\u0442\u043E\u0440\u0438\u044F\u0432\u044B\u0431\u043E\u0440\u0430\u043F\u0440\u0438\u0432\u0432\u043E\u0434\u0435 \u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439\u043E\u0441\u0438\u0442\u043E\u0447\u0435\u043A\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u0440\u0430\u0437\u043C\u0435\u0440\u0430\u043F\u0443\u0437\u044B\u0440\u044C\u043A\u0430\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043A\u0430\u0442\u0435\u0433\u043E\u0440\u0438\u044F\u0433\u0440\u0443\u043F\u043F\u044B\u043A\u043E\u043C\u0430\u043D\u0434 \u043C\u0430\u043A\u0441\u0438\u043C\u0443\u043C\u0441\u0435\u0440\u0438\u0439 \u043D\u0430\u0447\u0430\u043B\u044C\u043D\u043E\u0435\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0434\u0435\u0440\u0435\u0432\u0430 \u043D\u0430\u0447\u0430\u043B\u044C\u043D\u043E\u0435\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0441\u043F\u0438\u0441\u043A\u0430 \u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u0435\u0442\u0435\u043A\u0441\u0442\u0430\u0440\u0435\u0434\u0430\u043A\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u043E\u0440\u0438\u0435\u043D\u0442\u0430\u0446\u0438\u044F\u0434\u0435\u043D\u0434\u0440\u043E\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0440\u0438\u0435\u043D\u0442\u0430\u0446\u0438\u044F\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0440\u0438\u0435\u043D\u0442\u0430\u0446\u0438\u044F\u043C\u0435\u0442\u043E\u043A\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0440\u0438\u0435\u043D\u0442\u0430\u0446\u0438\u044F\u043C\u0435\u0442\u043E\u043A\u0441\u0432\u043E\u0434\u043D\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0440\u0438\u0435\u043D\u0442\u0430\u0446\u0438\u044F\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0444\u043E\u0440\u043C\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0432\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u0435 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0432\u043B\u0435\u0433\u0435\u043D\u0434\u0435\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0433\u0440\u0443\u043F\u043F\u044B\u043A\u043D\u043E\u043F\u043E\u043A \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043A\u0430\u0448\u043A\u0430\u043B\u044B\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439\u0441\u0432\u043E\u0434\u043D\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u0438\u0437\u043C\u0435\u0440\u0438\u0442\u0435\u043B\u044C\u043D\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0438\u043D\u0442\u0435\u0440\u0432\u0430\u043B\u0430\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B\u0433\u0430\u043D\u0442\u0430 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043A\u043D\u043E\u043F\u043A\u0438 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043A\u043D\u043E\u043F\u043A\u0438\u0432\u044B\u0431\u043E\u0440\u0430 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043E\u0431\u0441\u0443\u0436\u0434\u0435\u043D\u0438\u0439\u0444\u043E\u0440\u043C\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043E\u0431\u044B\u0447\u043D\u043E\u0439\u0433\u0440\u0443\u043F\u043F\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043E\u0442\u0440\u0438\u0446\u0430\u0442\u0435\u043B\u044C\u043D\u044B\u0445\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439\u043F\u0443\u0437\u044B\u0440\u044C\u043A\u043E\u0432\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043F\u0430\u043D\u0435\u043B\u0438\u043F\u043E\u0438\u0441\u043A\u0430 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043F\u043E\u0434\u0441\u043A\u0430\u0437\u043A\u0438 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043F\u0440\u0435\u0434\u0443\u043F\u0440\u0435\u0436\u0434\u0435\u043D\u0438\u044F\u043F\u0440\u0438\u0440\u0435\u0434\u0430\u043A\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u0438 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0440\u0430\u0437\u043C\u0435\u0442\u043A\u0438\u043F\u043E\u043B\u043E\u0441\u044B\u0440\u0435\u0433\u0443\u043B\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0441\u0442\u0440\u0430\u043D\u0438\u0446\u0444\u043E\u0440\u043C\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0442\u0430\u0431\u043B\u0438\u0446\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0442\u0435\u043A\u0441\u0442\u0430\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B\u0433\u0430\u043D\u0442\u0430 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0443\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u044F\u043E\u0431\u044B\u0447\u043D\u043E\u0439\u0433\u0440\u0443\u043F\u043F\u044B \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0444\u0438\u0433\u0443\u0440\u044B\u043A\u043D\u043E\u043F\u043A\u0438 \u043F\u0430\u043B\u0438\u0442\u0440\u0430\u0446\u0432\u0435\u0442\u043E\u0432\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043F\u043E\u0432\u0435\u0434\u0435\u043D\u0438\u0435\u043E\u0431\u044B\u0447\u043D\u043E\u0439\u0433\u0440\u0443\u043F\u043F\u044B \u043F\u043E\u0434\u0434\u0435\u0440\u0436\u043A\u0430\u043C\u0430\u0441\u0448\u0442\u0430\u0431\u0430\u0434\u0435\u043D\u0434\u0440\u043E\u0433\u0440\u0430\u043C\u043C\u044B \u043F\u043E\u0434\u0434\u0435\u0440\u0436\u043A\u0430\u043C\u0430\u0441\u0448\u0442\u0430\u0431\u0430\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B\u0433\u0430\u043D\u0442\u0430 \u043F\u043E\u0434\u0434\u0435\u0440\u0436\u043A\u0430\u043C\u0430\u0441\u0448\u0442\u0430\u0431\u0430\u0441\u0432\u043E\u0434\u043D\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043F\u043E\u0438\u0441\u043A\u0432\u0442\u0430\u0431\u043B\u0438\u0446\u0435\u043F\u0440\u0438\u0432\u0432\u043E\u0434\u0435 \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043A\u0430\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0444\u043E\u0440\u043C\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043A\u0430\u0440\u0442\u0438\u043D\u043A\u0438\u043A\u043D\u043E\u043F\u043A\u0438\u0444\u043E\u0440\u043C\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043A\u0430\u0440\u0442\u0438\u043D\u043A\u0438\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043A\u043E\u043C\u0430\u043D\u0434\u043D\u043E\u0439\u043F\u0430\u043D\u0435\u043B\u0438\u0444\u043E\u0440\u043C\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043A\u043E\u043C\u0430\u043D\u0434\u043D\u043E\u0439\u043F\u0430\u043D\u0435\u043B\u0438\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0444\u043E\u0440\u043C\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043E\u043F\u043E\u0440\u043D\u043E\u0439\u0442\u043E\u0447\u043A\u0438\u043E\u0442\u0440\u0438\u0441\u043E\u0432\u043A\u0438 \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043F\u043E\u0434\u043F\u0438\u0441\u0435\u0439\u043A\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u0435 \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043F\u043E\u0434\u043F\u0438\u0441\u0435\u0439\u0448\u043A\u0430\u043B\u044B\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439\u0438\u0437\u043C\u0435\u0440\u0438\u0442\u0435\u043B\u044C\u043D\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0441\u043E\u0441\u0442\u043E\u044F\u043D\u0438\u044F\u043F\u0440\u043E\u0441\u043C\u043E\u0442\u0440\u0430 \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0441\u0442\u0440\u043E\u043A\u0438\u043F\u043E\u0438\u0441\u043A\u0430 \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0442\u0435\u043A\u0441\u0442\u0430\u0441\u043E\u0435\u0434\u0438\u043D\u0438\u0442\u0435\u043B\u044C\u043D\u043E\u0439\u043B\u0438\u043D\u0438\u0438 \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0443\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u044F\u043F\u043E\u0438\u0441\u043A\u043E\u043C \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0448\u043A\u0430\u043B\u044B\u0432\u0440\u0435\u043C\u0435\u043D\u0438 \u043F\u043E\u0440\u044F\u0434\u043E\u043A\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0442\u043E\u0447\u0435\u043A\u0433\u043E\u0440\u0438\u0437\u043E\u043D\u0442\u0430\u043B\u044C\u043D\u043E\u0439\u0433\u0438\u0441\u0442\u043E\u0433\u0440\u0430\u043C\u043C\u044B \u043F\u043E\u0440\u044F\u0434\u043E\u043A\u0441\u0435\u0440\u0438\u0439\u0432\u043B\u0435\u0433\u0435\u043D\u0434\u0435\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0440\u0430\u0437\u043C\u0435\u0440\u043A\u0430\u0440\u0442\u0438\u043D\u043A\u0438 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043A\u0430\u0448\u043A\u0430\u043B\u044B\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0440\u0430\u0441\u0442\u044F\u0433\u0438\u0432\u0430\u043D\u0438\u0435\u043F\u043E\u0432\u0435\u0440\u0442\u0438\u043A\u0430\u043B\u0438\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B\u0433\u0430\u043D\u0442\u0430 \u0440\u0435\u0436\u0438\u043C\u0430\u0432\u0442\u043E\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0441\u043E\u0441\u0442\u043E\u044F\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u0432\u0432\u043E\u0434\u0430\u0441\u0442\u0440\u043E\u043A\u0442\u0430\u0431\u043B\u0438\u0446\u044B \u0440\u0435\u0436\u0438\u043C\u0432\u044B\u0431\u043E\u0440\u0430\u043D\u0435\u0437\u0430\u043F\u043E\u043B\u043D\u0435\u043D\u043D\u043E\u0433\u043E \u0440\u0435\u0436\u0438\u043C\u0432\u044B\u0434\u0435\u043B\u0435\u043D\u0438\u044F\u0434\u0430\u0442\u044B \u0440\u0435\u0436\u0438\u043C\u0432\u044B\u0434\u0435\u043B\u0435\u043D\u0438\u044F\u0441\u0442\u0440\u043E\u043A\u0438\u0442\u0430\u0431\u043B\u0438\u0446\u044B \u0440\u0435\u0436\u0438\u043C\u0432\u044B\u0434\u0435\u043B\u0435\u043D\u0438\u044F\u0442\u0430\u0431\u043B\u0438\u0446\u044B \u0440\u0435\u0436\u0438\u043C\u0438\u0437\u043C\u0435\u043D\u0435\u043D\u0438\u044F\u0440\u0430\u0437\u043C\u0435\u0440\u0430 \u0440\u0435\u0436\u0438\u043C\u0438\u0437\u043C\u0435\u043D\u0435\u043D\u0438\u044F\u0441\u0432\u044F\u0437\u0430\u043D\u043D\u043E\u0433\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0434\u0438\u0430\u043B\u043E\u0433\u0430\u043F\u0435\u0447\u0430\u0442\u0438 \u0440\u0435\u0436\u0438\u043C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u0430\u043A\u043E\u043C\u0430\u043D\u0434\u044B \u0440\u0435\u0436\u0438\u043C\u043C\u0430\u0441\u0448\u0442\u0430\u0431\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F\u043F\u0440\u043E\u0441\u043C\u043E\u0442\u0440\u0430 \u0440\u0435\u0436\u0438\u043C\u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0433\u043E\u043E\u043A\u043D\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043A\u0440\u044B\u0442\u0438\u044F\u043E\u043A\u043D\u0430\u0444\u043E\u0440\u043C\u044B \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0432\u044B\u0434\u0435\u043B\u0435\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439\u0441\u0435\u0440\u0438\u0438 \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u0440\u0438\u0441\u043E\u0432\u043A\u0438\u0441\u0435\u0442\u043A\u0438\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0440\u0435\u0436\u0438\u043C\u043F\u043E\u043B\u0443\u043F\u0440\u043E\u0437\u0440\u0430\u0447\u043D\u043E\u0441\u0442\u0438\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0440\u0435\u0436\u0438\u043C\u043F\u0440\u043E\u0431\u0435\u043B\u043E\u0432\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0440\u0435\u0436\u0438\u043C\u0440\u0430\u0437\u043C\u0435\u0449\u0435\u043D\u0438\u044F\u043D\u0430\u0441\u0442\u0440\u0430\u043D\u0438\u0446\u0435 \u0440\u0435\u0436\u0438\u043C\u0440\u0435\u0434\u0430\u043A\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F\u043A\u043E\u043B\u043E\u043D\u043A\u0438 \u0440\u0435\u0436\u0438\u043C\u0441\u0433\u043B\u0430\u0436\u0438\u0432\u0430\u043D\u0438\u044F\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0440\u0435\u0436\u0438\u043C\u0441\u0433\u043B\u0430\u0436\u0438\u0432\u0430\u043D\u0438\u044F\u0438\u043D\u0434\u0438\u043A\u0430\u0442\u043E\u0440\u0430 \u0440\u0435\u0436\u0438\u043C\u0441\u043F\u0438\u0441\u043A\u0430\u0437\u0430\u0434\u0430\u0447 \u0441\u043A\u0432\u043E\u0437\u043D\u043E\u0435\u0432\u044B\u0440\u0430\u0432\u043D\u0438\u0432\u0430\u043D\u0438\u0435 \u0441\u043E\u0445\u0440\u0430\u043D\u0435\u043D\u0438\u0435\u0434\u0430\u043D\u043D\u044B\u0445\u0444\u043E\u0440\u043C\u044B\u0432\u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0430\u0445 \u0441\u043F\u043E\u0441\u043E\u0431\u0437\u0430\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u044F\u0442\u0435\u043A\u0441\u0442\u0430\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043A\u0430\u0448\u043A\u0430\u043B\u044B\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0441\u043F\u043E\u0441\u043E\u0431\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u044F\u043E\u0433\u0440\u0430\u043D\u0438\u0447\u0438\u0432\u0430\u044E\u0449\u0435\u0433\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u0430\u044F\u0433\u0440\u0443\u043F\u043F\u0430\u043A\u043E\u043C\u0430\u043D\u0434 \u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0435\u043E\u0444\u043E\u0440\u043C\u043B\u0435\u043D\u0438\u0435 \u0441\u0442\u0430\u0442\u0443\u0441\u043E\u043F\u043E\u0432\u0435\u0449\u0435\u043D\u0438\u044F\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044F \u0441\u0442\u0438\u043B\u044C\u0441\u0442\u0440\u0435\u043B\u043A\u0438 \u0442\u0438\u043F\u0430\u043F\u043F\u0440\u043E\u043A\u0441\u0438\u043C\u0430\u0446\u0438\u0438\u043B\u0438\u043D\u0438\u0438\u0442\u0440\u0435\u043D\u0434\u0430\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0442\u0438\u043F\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0442\u0438\u043F\u0435\u0434\u0438\u043D\u0438\u0446\u044B\u0448\u043A\u0430\u043B\u044B\u0432\u0440\u0435\u043C\u0435\u043D\u0438 \u0442\u0438\u043F\u0438\u043C\u043F\u043E\u0440\u0442\u0430\u0441\u0435\u0440\u0438\u0439\u0441\u043B\u043E\u044F\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u043B\u0438\u043D\u0438\u0438\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u043B\u0438\u043D\u0438\u0438\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0442\u0438\u043F\u043C\u0430\u0440\u043A\u0435\u0440\u0430\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u043C\u0430\u0440\u043A\u0435\u0440\u0430\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0442\u0438\u043F\u043E\u0431\u043B\u0430\u0441\u0442\u0438\u043E\u0444\u043E\u0440\u043C\u043B\u0435\u043D\u0438\u044F \u0442\u0438\u043F\u043E\u0440\u0433\u0430\u043D\u0438\u0437\u0430\u0446\u0438\u0438\u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0441\u0435\u0440\u0438\u0438\u0441\u043B\u043E\u044F\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0442\u043E\u0447\u0435\u0447\u043D\u043E\u0433\u043E\u043E\u0431\u044A\u0435\u043A\u0442\u0430\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0448\u043A\u0430\u043B\u044B\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u043B\u0435\u0433\u0435\u043D\u0434\u044B\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u043F\u043E\u0438\u0441\u043A\u0430\u043E\u0431\u044A\u0435\u043A\u0442\u043E\u0432\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u043F\u0440\u043E\u0435\u043A\u0446\u0438\u0438\u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u0440\u0430\u0437\u043C\u0435\u0449\u0435\u043D\u0438\u044F\u0438\u0437\u043C\u0435\u0440\u0435\u043D\u0438\u0439 \u0442\u0438\u043F\u0440\u0430\u0437\u043C\u0435\u0449\u0435\u043D\u0438\u044F\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u043E\u0432\u0438\u0437\u043C\u0435\u0440\u0435\u043D\u0438\u0439 \u0442\u0438\u043F\u0440\u0430\u043C\u043A\u0438\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0443\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u044F \u0442\u0438\u043F\u0441\u0432\u043E\u0434\u043D\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0442\u0438\u043F\u0441\u0432\u044F\u0437\u0438\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B\u0433\u0430\u043D\u0442\u0430 \u0442\u0438\u043F\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439\u043F\u043E\u0441\u0435\u0440\u0438\u044F\u043C\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0442\u0438\u043F\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F\u0442\u043E\u0447\u0435\u043A\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0442\u0438\u043F\u0441\u043E\u0435\u0434\u0438\u043D\u0438\u0442\u0435\u043B\u044C\u043D\u043E\u0439\u043B\u0438\u043D\u0438\u0438 \u0442\u0438\u043F\u0441\u0442\u043E\u0440\u043E\u043D\u044B\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0442\u0438\u043F\u0444\u043E\u0440\u043C\u044B\u043E\u0442\u0447\u0435\u0442\u0430 \u0442\u0438\u043F\u0448\u043A\u0430\u043B\u044B\u0440\u0430\u0434\u0430\u0440\u043D\u043E\u0439\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0444\u0430\u043A\u0442\u043E\u0440\u043B\u0438\u043D\u0438\u0438\u0442\u0440\u0435\u043D\u0434\u0430\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B \u0444\u0438\u0433\u0443\u0440\u0430\u043A\u043D\u043E\u043F\u043A\u0438 \u0444\u0438\u0433\u0443\u0440\u044B\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u043E\u0439\u0441\u0445\u0435\u043C\u044B \u0444\u0438\u043A\u0441\u0430\u0446\u0438\u044F\u0432\u0442\u0430\u0431\u043B\u0438\u0446\u0435 \u0444\u043E\u0440\u043C\u0430\u0442\u0434\u043D\u044F\u0448\u043A\u0430\u043B\u044B\u0432\u0440\u0435\u043C\u0435\u043D\u0438 \u0444\u043E\u0440\u043C\u0430\u0442\u043A\u0430\u0440\u0442\u0438\u043D\u043A\u0438 \u0448\u0438\u0440\u0438\u043D\u0430\u043F\u043E\u0434\u0447\u0438\u043D\u0435\u043D\u043D\u044B\u0445\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u043E\u0432\u0444\u043E\u0440\u043C\u044B ";
        const v8_system_enums_objects_properties = "\u0432\u0438\u0434\u0434\u0432\u0438\u0436\u0435\u043D\u0438\u044F\u0431\u0443\u0445\u0433\u0430\u043B\u0442\u0435\u0440\u0438\u0438 \u0432\u0438\u0434\u0434\u0432\u0438\u0436\u0435\u043D\u0438\u044F\u043D\u0430\u043A\u043E\u043F\u043B\u0435\u043D\u0438\u044F \u0432\u0438\u0434\u043F\u0435\u0440\u0438\u043E\u0434\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0440\u0430\u0441\u0447\u0435\u0442\u0430 \u0432\u0438\u0434\u0441\u0447\u0435\u0442\u0430 \u0432\u0438\u0434\u0442\u043E\u0447\u043A\u0438\u043C\u0430\u0440\u0448\u0440\u0443\u0442\u0430\u0431\u0438\u0437\u043D\u0435\u0441\u043F\u0440\u043E\u0446\u0435\u0441\u0441\u0430 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0430\u0433\u0440\u0435\u0433\u0430\u0442\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u043D\u0430\u043A\u043E\u043F\u043B\u0435\u043D\u0438\u044F \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0433\u0440\u0443\u043F\u043F\u0438\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u043E\u0432 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0440\u0435\u0436\u0438\u043C\u0430\u043F\u0440\u043E\u0432\u0435\u0434\u0435\u043D\u0438\u044F \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0441\u0440\u0435\u0437\u0430 \u043F\u0435\u0440\u0438\u043E\u0434\u0438\u0447\u043D\u043E\u0441\u0442\u044C\u0430\u0433\u0440\u0435\u0433\u0430\u0442\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u043D\u0430\u043A\u043E\u043F\u043B\u0435\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u0430\u0432\u0442\u043E\u0432\u0440\u0435\u043C\u044F \u0440\u0435\u0436\u0438\u043C\u0437\u0430\u043F\u0438\u0441\u0438\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0440\u0435\u0436\u0438\u043C\u043F\u0440\u043E\u0432\u0435\u0434\u0435\u043D\u0438\u044F\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 ";
        const v8_system_enums_exchange_plans = "\u0430\u0432\u0442\u043E\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u044F\u0438\u0437\u043C\u0435\u043D\u0435\u043D\u0438\u0439 \u0434\u043E\u043F\u0443\u0441\u0442\u0438\u043C\u044B\u0439\u043D\u043E\u043C\u0435\u0440\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F \u043E\u0442\u043F\u0440\u0430\u0432\u043A\u0430\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u043E\u043B\u0443\u0447\u0435\u043D\u0438\u0435\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0434\u0430\u043D\u043D\u044B\u0445 ";
        const v8_system_enums_tabular_document = "\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0440\u0430\u0441\u0448\u0438\u0444\u0440\u043E\u0432\u043A\u0438\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u043E\u0440\u0438\u0435\u043D\u0442\u0430\u0446\u0438\u044F\u0441\u0442\u0440\u0430\u043D\u0438\u0446\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0438\u0442\u043E\u0433\u043E\u0432\u043A\u043E\u043B\u043E\u043D\u043E\u043A\u0441\u0432\u043E\u0434\u043D\u043E\u0439\u0442\u0430\u0431\u043B\u0438\u0446\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0438\u0442\u043E\u0433\u043E\u0432\u0441\u0442\u0440\u043E\u043A\u0441\u0432\u043E\u0434\u043D\u043E\u0439\u0442\u0430\u0431\u043B\u0438\u0446\u044B \u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0442\u0435\u043A\u0441\u0442\u0430\u043E\u0442\u043D\u043E\u0441\u0438\u0442\u0435\u043B\u044C\u043D\u043E\u043A\u0430\u0440\u0442\u0438\u043D\u043A\u0438 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043A\u0430\u0433\u0440\u0443\u043F\u043F\u0438\u0440\u043E\u0432\u043A\u0438\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0441\u043F\u043E\u0441\u043E\u0431\u0447\u0442\u0435\u043D\u0438\u044F\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u0434\u0432\u0443\u0441\u0442\u043E\u0440\u043E\u043D\u043D\u0435\u0439\u043F\u0435\u0447\u0430\u0442\u0438 \u0442\u0438\u043F\u0437\u0430\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u044F\u043E\u0431\u043B\u0430\u0441\u0442\u0438\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u043A\u0443\u0440\u0441\u043E\u0440\u043E\u0432\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u043B\u0438\u043D\u0438\u0438\u0440\u0438\u0441\u0443\u043D\u043A\u0430\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u043B\u0438\u043D\u0438\u0438\u044F\u0447\u0435\u0439\u043A\u0438\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u043D\u0430\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u044F\u043F\u0435\u0440\u0435\u0445\u043E\u0434\u0430\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u0432\u044B\u0434\u0435\u043B\u0435\u043D\u0438\u044F\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u043B\u0438\u043D\u0438\u0439\u0441\u0432\u043E\u0434\u043D\u043E\u0439\u0442\u0430\u0431\u043B\u0438\u0446\u044B \u0442\u0438\u043F\u0440\u0430\u0437\u043C\u0435\u0449\u0435\u043D\u0438\u044F\u0442\u0435\u043A\u0441\u0442\u0430\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u0440\u0438\u0441\u0443\u043D\u043A\u0430\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u0441\u043C\u0435\u0449\u0435\u043D\u0438\u044F\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u0443\u0437\u043E\u0440\u0430\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u0444\u0430\u0439\u043B\u0430\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u043E\u0447\u043D\u043E\u0441\u0442\u044C\u043F\u0435\u0447\u0430\u0442\u0438 \u0447\u0435\u0440\u0435\u0434\u043E\u0432\u0430\u043D\u0438\u0435\u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u044F\u0441\u0442\u0440\u0430\u043D\u0438\u0446 ";
        const v8_system_enums_sheduler = "\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u0432\u0440\u0435\u043C\u0435\u043D\u0438\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u043E\u0432\u043F\u043B\u0430\u043D\u0438\u0440\u043E\u0432\u0449\u0438\u043A\u0430 ";
        const v8_system_enums_formatted_document = "\u0442\u0438\u043F\u0444\u0430\u0439\u043B\u0430\u0444\u043E\u0440\u043C\u0430\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u043E\u0433\u043E\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 ";
        const v8_system_enums_query = "\u043E\u0431\u0445\u043E\u0434\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430\u0437\u0430\u043F\u0440\u043E\u0441\u0430 \u0442\u0438\u043F\u0437\u0430\u043F\u0438\u0441\u0438\u0437\u0430\u043F\u0440\u043E\u0441\u0430 ";
        const v8_system_enums_report_builder = "\u0432\u0438\u0434\u0437\u0430\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u044F\u0440\u0430\u0441\u0448\u0438\u0444\u0440\u043E\u0432\u043A\u0438\u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044F\u043E\u0442\u0447\u0435\u0442\u0430 \u0442\u0438\u043F\u0434\u043E\u0431\u0430\u0432\u043B\u0435\u043D\u0438\u044F\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0439 \u0442\u0438\u043F\u0438\u0437\u043C\u0435\u0440\u0435\u043D\u0438\u044F\u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044F\u043E\u0442\u0447\u0435\u0442\u0430 \u0442\u0438\u043F\u0440\u0430\u0437\u043C\u0435\u0449\u0435\u043D\u0438\u044F\u0438\u0442\u043E\u0433\u043E\u0432 ";
        const v8_system_enums_files = "\u0434\u043E\u0441\u0442\u0443\u043F\u043A\u0444\u0430\u0439\u043B\u0443 \u0440\u0435\u0436\u0438\u043C\u0434\u0438\u0430\u043B\u043E\u0433\u0430\u0432\u044B\u0431\u043E\u0440\u0430\u0444\u0430\u0439\u043B\u0430 \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043A\u0440\u044B\u0442\u0438\u044F\u0444\u0430\u0439\u043B\u0430 ";
        const v8_system_enums_query_builder = "\u0442\u0438\u043F\u0438\u0437\u043C\u0435\u0440\u0435\u043D\u0438\u044F\u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044F\u0437\u0430\u043F\u0440\u043E\u0441\u0430 ";
        const v8_system_enums_data_analysis = "\u0432\u0438\u0434\u0434\u0430\u043D\u043D\u044B\u0445\u0430\u043D\u0430\u043B\u0438\u0437\u0430 \u043C\u0435\u0442\u043E\u0434\u043A\u043B\u0430\u0441\u0442\u0435\u0440\u0438\u0437\u0430\u0446\u0438\u0438 \u0442\u0438\u043F\u0435\u0434\u0438\u043D\u0438\u0446\u044B\u0438\u043D\u0442\u0435\u0440\u0432\u0430\u043B\u0430\u0432\u0440\u0435\u043C\u0435\u043D\u0438\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0437\u0430\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u044F\u0442\u0430\u0431\u043B\u0438\u0446\u044B\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0447\u0438\u0441\u043B\u043E\u0432\u044B\u0445\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u043F\u043E\u0438\u0441\u043A\u0430\u0430\u0441\u0441\u043E\u0446\u0438\u0430\u0446\u0438\u0439 \u0442\u0438\u043F\u043A\u043E\u043B\u043E\u043D\u043A\u0438\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u0434\u0435\u0440\u0435\u0432\u043E\u0440\u0435\u0448\u0435\u043D\u0438\u0439 \u0442\u0438\u043F\u043A\u043E\u043B\u043E\u043D\u043A\u0438\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u043A\u043B\u0430\u0441\u0442\u0435\u0440\u0438\u0437\u0430\u0446\u0438\u044F \u0442\u0438\u043F\u043A\u043E\u043B\u043E\u043D\u043A\u0438\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u043E\u0431\u0449\u0430\u044F\u0441\u0442\u0430\u0442\u0438\u0441\u0442\u0438\u043A\u0430 \u0442\u0438\u043F\u043A\u043E\u043B\u043E\u043D\u043A\u0438\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u043F\u043E\u0438\u0441\u043A\u0430\u0441\u0441\u043E\u0446\u0438\u0430\u0446\u0438\u0439 \u0442\u0438\u043F\u043A\u043E\u043B\u043E\u043D\u043A\u0438\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u043F\u043E\u0438\u0441\u043A\u043F\u043E\u0441\u043B\u0435\u0434\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u043D\u043E\u0441\u0442\u0435\u0439 \u0442\u0438\u043F\u043A\u043E\u043B\u043E\u043D\u043A\u0438\u043C\u043E\u0434\u0435\u043B\u0438\u043F\u0440\u043E\u0433\u043D\u043E\u0437\u0430 \u0442\u0438\u043F\u043C\u0435\u0440\u044B\u0440\u0430\u0441\u0441\u0442\u043E\u044F\u043D\u0438\u044F\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u043E\u0442\u0441\u0435\u0447\u0435\u043D\u0438\u044F\u043F\u0440\u0430\u0432\u0438\u043B\u0430\u0441\u0441\u043E\u0446\u0438\u0430\u0446\u0438\u0438 \u0442\u0438\u043F\u043F\u043E\u043B\u044F\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u0438\u0437\u0430\u0446\u0438\u0438\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0443\u043F\u043E\u0440\u044F\u0434\u043E\u0447\u0438\u0432\u0430\u043D\u0438\u044F\u043F\u0440\u0430\u0432\u0438\u043B\u0430\u0441\u0441\u043E\u0446\u0438\u0430\u0446\u0438\u0438\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0443\u043F\u043E\u0440\u044F\u0434\u043E\u0447\u0438\u0432\u0430\u043D\u0438\u044F\u0448\u0430\u0431\u043B\u043E\u043D\u043E\u0432\u043F\u043E\u0441\u043B\u0435\u0434\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u043D\u043E\u0441\u0442\u0435\u0439\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0443\u043F\u0440\u043E\u0449\u0435\u043D\u0438\u044F\u0434\u0435\u0440\u0435\u0432\u0430\u0440\u0435\u0448\u0435\u043D\u0438\u0439 ";
        const v8_system_enums_xml_json_xs_dom_xdto_ws = "ws\u043D\u0430\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u0430 \u0432\u0430\u0440\u0438\u0430\u043D\u0442xpathxs \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0437\u0430\u043F\u0438\u0441\u0438\u0434\u0430\u0442\u044Bjson \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u043F\u0440\u043E\u0441\u0442\u043E\u0433\u043E\u0442\u0438\u043F\u0430xs \u0432\u0438\u0434\u0433\u0440\u0443\u043F\u043F\u044B\u043C\u043E\u0434\u0435\u043B\u0438xs \u0432\u0438\u0434\u0444\u0430\u0441\u0435\u0442\u0430xdto \u0434\u0435\u0439\u0441\u0442\u0432\u0438\u0435\u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044Fdom \u0437\u0430\u0432\u0435\u0440\u0448\u0435\u043D\u043D\u043E\u0441\u0442\u044C\u043F\u0440\u043E\u0441\u0442\u043E\u0433\u043E\u0442\u0438\u043F\u0430xs \u0437\u0430\u0432\u0435\u0440\u0448\u0435\u043D\u043D\u043E\u0441\u0442\u044C\u0441\u043E\u0441\u0442\u0430\u0432\u043D\u043E\u0433\u043E\u0442\u0438\u043F\u0430xs \u0437\u0430\u0432\u0435\u0440\u0448\u0435\u043D\u043D\u043E\u0441\u0442\u044C\u0441\u0445\u0435\u043C\u044Bxs \u0437\u0430\u043F\u0440\u0435\u0449\u0435\u043D\u043D\u044B\u0435\u043F\u043E\u0434\u0441\u0442\u0430\u043D\u043E\u0432\u043A\u0438xs \u0438\u0441\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u044F\u0433\u0440\u0443\u043F\u043F\u043F\u043E\u0434\u0441\u0442\u0430\u043D\u043E\u0432\u043A\u0438xs \u043A\u0430\u0442\u0435\u0433\u043E\u0440\u0438\u044F\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0430\u0442\u0440\u0438\u0431\u0443\u0442\u0430xs \u043A\u0430\u0442\u0435\u0433\u043E\u0440\u0438\u044F\u043E\u0433\u0440\u0430\u043D\u0438\u0447\u0435\u043D\u0438\u044F\u0438\u0434\u0435\u043D\u0442\u0438\u0447\u043D\u043E\u0441\u0442\u0438xs \u043A\u0430\u0442\u0435\u0433\u043E\u0440\u0438\u044F\u043E\u0433\u0440\u0430\u043D\u0438\u0447\u0435\u043D\u0438\u044F\u043F\u0440\u043E\u0441\u0442\u0440\u0430\u043D\u0441\u0442\u0432\u0438\u043C\u0435\u043Dxs \u043C\u0435\u0442\u043E\u0434\u043D\u0430\u0441\u043B\u0435\u0434\u043E\u0432\u0430\u043D\u0438\u044Fxs \u043C\u043E\u0434\u0435\u043B\u044C\u0441\u043E\u0434\u0435\u0440\u0436\u0438\u043C\u043E\u0433\u043Exs \u043D\u0430\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0442\u0438\u043F\u0430xml \u043D\u0435\u0434\u043E\u043F\u0443\u0441\u0442\u0438\u043C\u044B\u0435\u043F\u043E\u0434\u0441\u0442\u0430\u043D\u043E\u0432\u043A\u0438xs \u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0430\u043F\u0440\u043E\u0431\u0435\u043B\u044C\u043D\u044B\u0445\u0441\u0438\u043C\u0432\u043E\u043B\u043E\u0432xs \u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0430\u0441\u043E\u0434\u0435\u0440\u0436\u0438\u043C\u043E\u0433\u043Exs \u043E\u0433\u0440\u0430\u043D\u0438\u0447\u0435\u043D\u0438\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044Fxs \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u044B\u043E\u0442\u0431\u043E\u0440\u0430\u0443\u0437\u043B\u043E\u0432dom \u043F\u0435\u0440\u0435\u043D\u043E\u0441\u0441\u0442\u0440\u043E\u043Ajson \u043F\u043E\u0437\u0438\u0446\u0438\u044F\u0432\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0435dom \u043F\u0440\u043E\u0431\u0435\u043B\u044C\u043D\u044B\u0435\u0441\u0438\u043C\u0432\u043E\u043B\u044Bxml \u0442\u0438\u043F\u0430\u0442\u0440\u0438\u0431\u0443\u0442\u0430xml \u0442\u0438\u043F\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044Fjson \u0442\u0438\u043F\u043A\u0430\u043D\u043E\u043D\u0438\u0447\u0435\u0441\u043A\u043E\u0433\u043Exml \u0442\u0438\u043F\u043A\u043E\u043C\u043F\u043E\u043D\u0435\u043D\u0442\u044Bxs \u0442\u0438\u043F\u043F\u0440\u043E\u0432\u0435\u0440\u043A\u0438xml \u0442\u0438\u043F\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430domxpath \u0442\u0438\u043F\u0443\u0437\u043B\u0430dom \u0442\u0438\u043F\u0443\u0437\u043B\u0430xml \u0444\u043E\u0440\u043C\u0430xml \u0444\u043E\u0440\u043C\u0430\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u044Fxs \u0444\u043E\u0440\u043C\u0430\u0442\u0434\u0430\u0442\u044Bjson \u044D\u043A\u0440\u0430\u043D\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u0435\u0441\u0438\u043C\u0432\u043E\u043B\u043E\u0432json ";
        const v8_system_enums_data_composition_system = "\u0432\u0438\u0434\u0441\u0440\u0430\u0432\u043D\u0435\u043D\u0438\u044F\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0434\u0435\u0439\u0441\u0442\u0432\u0438\u0435\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0438\u0440\u0430\u0441\u0448\u0438\u0444\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043D\u0430\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0441\u043E\u0440\u0442\u0438\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0432\u043B\u043E\u0436\u0435\u043D\u043D\u044B\u0445\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u043E\u0432\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0438\u0442\u043E\u0433\u043E\u0432\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0433\u0440\u0443\u043F\u043F\u0438\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043F\u043E\u043B\u0435\u0439\u0433\u0440\u0443\u043F\u043F\u0438\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043F\u043E\u043B\u044F\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u043E\u0432\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0440\u0435\u0441\u0443\u0440\u0441\u043E\u0432\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0431\u0443\u0445\u0433\u0430\u043B\u0442\u0435\u0440\u0441\u043A\u043E\u0433\u043E\u043E\u0441\u0442\u0430\u0442\u043A\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0432\u044B\u0432\u043E\u0434\u0430\u0442\u0435\u043A\u0441\u0442\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0433\u0440\u0443\u043F\u043F\u0438\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0433\u0440\u0443\u043F\u043F\u044B\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u043E\u0432\u043E\u0442\u0431\u043E\u0440\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0434\u043E\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u044F\u043F\u0435\u0440\u0438\u043E\u0434\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0437\u0430\u0433\u043E\u043B\u043E\u0432\u043A\u0430\u043F\u043E\u043B\u0435\u0439\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u043C\u0430\u043A\u0435\u0442\u0430\u0433\u0440\u0443\u043F\u043F\u0438\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u043C\u0430\u043A\u0435\u0442\u0430\u043E\u0431\u043B\u0430\u0441\u0442\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u043E\u0441\u0442\u0430\u0442\u043A\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u043F\u0435\u0440\u0438\u043E\u0434\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0440\u0430\u0437\u043C\u0435\u0449\u0435\u043D\u0438\u044F\u0442\u0435\u043A\u0441\u0442\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u0441\u0432\u044F\u0437\u0438\u043D\u0430\u0431\u043E\u0440\u043E\u0432\u0434\u0430\u043D\u043D\u044B\u0445\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u043B\u0435\u0433\u0435\u043D\u0434\u044B\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u044B\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u043F\u0440\u0438\u043C\u0435\u043D\u0435\u043D\u0438\u044F\u043E\u0442\u0431\u043E\u0440\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u043E\u0441\u0442\u043E\u044F\u043D\u0438\u0435\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u043F\u043E\u0441\u043E\u0431\u0432\u043E\u0441\u0441\u0442\u0430\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u044F\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0435\u0436\u0438\u043C\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0430\u0432\u0442\u043E\u043F\u043E\u0437\u0438\u0446\u0438\u044F\u0440\u0435\u0441\u0443\u0440\u0441\u043E\u0432\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0433\u0440\u0443\u043F\u043F\u0438\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0440\u0435\u0441\u0443\u0440\u0441\u043E\u0432\u0432\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u0435\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0444\u0438\u043A\u0441\u0430\u0446\u0438\u044F\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0443\u0441\u043B\u043E\u0432\u043D\u043E\u0433\u043E\u043E\u0444\u043E\u0440\u043C\u043B\u0435\u043D\u0438\u044F\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 ";
        const v8_system_enums_email = "\u0432\u0430\u0436\u043D\u043E\u0441\u0442\u044C\u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u043E\u0447\u0442\u043E\u0432\u043E\u0433\u043E\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F \u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0430\u0442\u0435\u043A\u0441\u0442\u0430\u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u043E\u0447\u0442\u043E\u0432\u043E\u0433\u043E\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F \u0441\u043F\u043E\u0441\u043E\u0431\u043A\u043E\u0434\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F\u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u043E\u0447\u0442\u043E\u0432\u043E\u0433\u043E\u0432\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0441\u043F\u043E\u0441\u043E\u0431\u043A\u043E\u0434\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F\u043D\u0435ascii\u0441\u0438\u043C\u0432\u043E\u043B\u043E\u0432\u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u043E\u0447\u0442\u043E\u0432\u043E\u0433\u043E\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F \u0442\u0438\u043F\u0442\u0435\u043A\u0441\u0442\u0430\u043F\u043E\u0447\u0442\u043E\u0432\u043E\u0433\u043E\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F \u043F\u0440\u043E\u0442\u043E\u043A\u043E\u043B\u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u043E\u0447\u0442\u044B \u0441\u0442\u0430\u0442\u0443\u0441\u0440\u0430\u0437\u0431\u043E\u0440\u0430\u043F\u043E\u0447\u0442\u043E\u0432\u043E\u0433\u043E\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F ";
        const v8_system_enums_logbook = "\u0440\u0435\u0436\u0438\u043C\u0442\u0440\u0430\u043D\u0437\u0430\u043A\u0446\u0438\u0438\u0437\u0430\u043F\u0438\u0441\u0438\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u0441\u0442\u0430\u0442\u0443\u0441\u0442\u0440\u0430\u043D\u0437\u0430\u043A\u0446\u0438\u0438\u0437\u0430\u043F\u0438\u0441\u0438\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u0443\u0440\u043E\u0432\u0435\u043D\u044C\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 ";
        const v8_system_enums_cryptography = "\u0440\u0430\u0441\u043F\u043E\u043B\u043E\u0436\u0435\u043D\u0438\u0435\u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0430\u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0432\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0438 \u0440\u0435\u0436\u0438\u043C\u0432\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u044F\u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0432\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0438 \u0440\u0435\u0436\u0438\u043C\u043F\u0440\u043E\u0432\u0435\u0440\u043A\u0438\u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u0430\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0438 \u0442\u0438\u043F\u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0430\u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0432\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0438 ";
        const v8_system_enums_zip = "\u043A\u043E\u0434\u0438\u0440\u043E\u0432\u043A\u0430\u0438\u043C\u0435\u043D\u0444\u0430\u0439\u043B\u043E\u0432\u0432zip\u0444\u0430\u0439\u043B\u0435 \u043C\u0435\u0442\u043E\u0434\u0441\u0436\u0430\u0442\u0438\u044Fzip \u043C\u0435\u0442\u043E\u0434\u0448\u0438\u0444\u0440\u043E\u0432\u0430\u043D\u0438\u044Fzip \u0440\u0435\u0436\u0438\u043C\u0432\u043E\u0441\u0441\u0442\u0430\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u044F\u043F\u0443\u0442\u0435\u0439\u0444\u0430\u0439\u043B\u043E\u0432zip \u0440\u0435\u0436\u0438\u043C\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0438\u043F\u043E\u0434\u043A\u0430\u0442\u0430\u043B\u043E\u0433\u043E\u0432zip \u0440\u0435\u0436\u0438\u043C\u0441\u043E\u0445\u0440\u0430\u043D\u0435\u043D\u0438\u044F\u043F\u0443\u0442\u0435\u0439zip \u0443\u0440\u043E\u0432\u0435\u043D\u044C\u0441\u0436\u0430\u0442\u0438\u044Fzip ";
        const v8_system_enums_other = "\u0437\u0432\u0443\u043A\u043E\u0432\u043E\u0435\u043E\u043F\u043E\u0432\u0435\u0449\u0435\u043D\u0438\u0435 \u043D\u0430\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0435\u0440\u0435\u0445\u043E\u0434\u0430\u043A\u0441\u0442\u0440\u043E\u043A\u0435 \u043F\u043E\u0437\u0438\u0446\u0438\u044F\u0432\u043F\u043E\u0442\u043E\u043A\u0435 \u043F\u043E\u0440\u044F\u0434\u043E\u043A\u0431\u0430\u0439\u0442\u043E\u0432 \u0440\u0435\u0436\u0438\u043C\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0435\u0436\u0438\u043C\u0443\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u044F\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u043A\u043E\u0439\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u0435\u0440\u0432\u0438\u0441\u0432\u0441\u0442\u0440\u043E\u0435\u043D\u043D\u044B\u0445\u043F\u043E\u043A\u0443\u043F\u043E\u043A \u0441\u043E\u0441\u0442\u043E\u044F\u043D\u0438\u0435\u0444\u043E\u043D\u043E\u0432\u043E\u0433\u043E\u0437\u0430\u0434\u0430\u043D\u0438\u044F \u0442\u0438\u043F\u043F\u043E\u0434\u043F\u0438\u0441\u0447\u0438\u043A\u0430\u0434\u043E\u0441\u0442\u0430\u0432\u043B\u044F\u0435\u043C\u044B\u0445\u0443\u0432\u0435\u0434\u043E\u043C\u043B\u0435\u043D\u0438\u0439 \u0443\u0440\u043E\u0432\u0435\u043D\u044C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0437\u0430\u0449\u0438\u0449\u0435\u043D\u043D\u043E\u0433\u043E\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044Fftp ";
        const v8_system_enums_request_schema = "\u043D\u0430\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u043E\u0440\u044F\u0434\u043A\u0430\u0441\u0445\u0435\u043C\u044B\u0437\u0430\u043F\u0440\u043E\u0441\u0430 \u0442\u0438\u043F\u0434\u043E\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u044F\u043F\u0435\u0440\u0438\u043E\u0434\u0430\u043C\u0438\u0441\u0445\u0435\u043C\u044B\u0437\u0430\u043F\u0440\u043E\u0441\u0430 \u0442\u0438\u043F\u043A\u043E\u043D\u0442\u0440\u043E\u043B\u044C\u043D\u043E\u0439\u0442\u043E\u0447\u043A\u0438\u0441\u0445\u0435\u043C\u044B\u0437\u0430\u043F\u0440\u043E\u0441\u0430 \u0442\u0438\u043F\u043E\u0431\u044A\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F\u0441\u0445\u0435\u043C\u044B\u0437\u0430\u043F\u0440\u043E\u0441\u0430 \u0442\u0438\u043F\u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u0430\u0434\u043E\u0441\u0442\u0443\u043F\u043D\u043E\u0439\u0442\u0430\u0431\u043B\u0438\u0446\u044B\u0441\u0445\u0435\u043C\u044B\u0437\u0430\u043F\u0440\u043E\u0441\u0430 \u0442\u0438\u043F\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F\u0441\u0445\u0435\u043C\u044B\u0437\u0430\u043F\u0440\u043E\u0441\u0430 ";
        const v8_system_enums_properties_of_metadata_objects = "http\u043C\u0435\u0442\u043E\u0434 \u0430\u0432\u0442\u043E\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u043E\u0431\u0449\u0435\u0433\u043E\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u0430 \u0430\u0432\u0442\u043E\u043F\u0440\u0435\u0444\u0438\u043A\u0441\u043D\u043E\u043C\u0435\u0440\u0430\u0437\u0430\u0434\u0430\u0447\u0438 \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0432\u0441\u0442\u0440\u043E\u0435\u043D\u043D\u043E\u0433\u043E\u044F\u0437\u044B\u043A\u0430 \u0432\u0438\u0434\u0438\u0435\u0440\u0430\u0440\u0445\u0438\u0438 \u0432\u0438\u0434\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u043D\u0430\u043A\u043E\u043F\u043B\u0435\u043D\u0438\u044F \u0432\u0438\u0434\u0442\u0430\u0431\u043B\u0438\u0446\u044B\u0432\u043D\u0435\u0448\u043D\u0435\u0433\u043E\u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0437\u0430\u043F\u0438\u0441\u044C\u0434\u0432\u0438\u0436\u0435\u043D\u0438\u0439\u043F\u0440\u0438\u043F\u0440\u043E\u0432\u0435\u0434\u0435\u043D\u0438\u0438 \u0437\u0430\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u0435\u043F\u043E\u0441\u043B\u0435\u0434\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u043D\u043E\u0441\u0442\u0435\u0439 \u0438\u043D\u0434\u0435\u043A\u0441\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u0435 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0431\u0430\u0437\u044B\u043F\u043B\u0430\u043D\u0430\u0432\u0438\u0434\u043E\u0432\u0440\u0430\u0441\u0447\u0435\u0442\u0430 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0431\u044B\u0441\u0442\u0440\u043E\u0433\u043E\u0432\u044B\u0431\u043E\u0440\u0430 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u043E\u0431\u0449\u0435\u0433\u043E\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u0430 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u043F\u043E\u0434\u0447\u0438\u043D\u0435\u043D\u0438\u044F \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u043F\u043E\u043B\u043D\u043E\u0442\u0435\u043A\u0441\u0442\u043E\u0432\u043E\u0433\u043E\u043F\u043E\u0438\u0441\u043A\u0430 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0440\u0430\u0437\u0434\u0435\u043B\u044F\u0435\u043C\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445\u043E\u0431\u0449\u0435\u0433\u043E\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u0430 \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u0430 \u043D\u0430\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u043D\u0430\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0435\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u044F\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438 \u043D\u0430\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0435\u0440\u0435\u0434\u0430\u0447\u0438 \u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0440\u0435\u0434\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u043D\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445 \u043E\u043F\u0435\u0440\u0430\u0442\u0438\u0432\u043D\u043E\u0435\u043F\u0440\u043E\u0432\u0435\u0434\u0435\u043D\u0438\u0435 \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0435\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0432\u0438\u0434\u0430\u0440\u0430\u0441\u0447\u0435\u0442\u0430 \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0435\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0432\u0438\u0434\u0430\u0445\u0430\u0440\u0430\u043A\u0442\u0435\u0440\u0438\u0441\u0442\u0438\u043A\u0438 \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0435\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0437\u0430\u0434\u0430\u0447\u0438 \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0435\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u043B\u0430\u043D\u0430\u043E\u0431\u043C\u0435\u043D\u0430 \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0435\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0441\u043F\u0440\u0430\u0432\u043E\u0447\u043D\u0438\u043A\u0430 \u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0435\u043F\u0440\u0435\u0434\u0441\u0442\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0441\u0447\u0435\u0442\u0430 \u043F\u0435\u0440\u0435\u043C\u0435\u0449\u0435\u043D\u0438\u0435\u0433\u0440\u0430\u043D\u0438\u0446\u044B\u043F\u0440\u0438\u043F\u0440\u043E\u0432\u0435\u0434\u0435\u043D\u0438\u0438 \u043F\u0435\u0440\u0438\u043E\u0434\u0438\u0447\u043D\u043E\u0441\u0442\u044C\u043D\u043E\u043C\u0435\u0440\u0430\u0431\u0438\u0437\u043D\u0435\u0441\u043F\u0440\u043E\u0446\u0435\u0441\u0441\u0430 \u043F\u0435\u0440\u0438\u043E\u0434\u0438\u0447\u043D\u043E\u0441\u0442\u044C\u043D\u043E\u043C\u0435\u0440\u0430\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u043F\u0435\u0440\u0438\u043E\u0434\u0438\u0447\u043D\u043E\u0441\u0442\u044C\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0440\u0430\u0441\u0447\u0435\u0442\u0430 \u043F\u0435\u0440\u0438\u043E\u0434\u0438\u0447\u043D\u043E\u0441\u0442\u044C\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0441\u0432\u0435\u0434\u0435\u043D\u0438\u0439 \u043F\u043E\u0432\u0442\u043E\u0440\u043D\u043E\u0435\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0432\u043E\u0437\u0432\u0440\u0430\u0449\u0430\u0435\u043C\u044B\u0445\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439 \u043F\u043E\u043B\u043D\u043E\u0442\u0435\u043A\u0441\u0442\u043E\u0432\u044B\u0439\u043F\u043E\u0438\u0441\u043A\u043F\u0440\u0438\u0432\u0432\u043E\u0434\u0435\u043F\u043E\u0441\u0442\u0440\u043E\u043A\u0435 \u043F\u0440\u0438\u043D\u0430\u0434\u043B\u0435\u0436\u043D\u043E\u0441\u0442\u044C\u043E\u0431\u044A\u0435\u043A\u0442\u0430 \u043F\u0440\u043E\u0432\u0435\u0434\u0435\u043D\u0438\u0435 \u0440\u0430\u0437\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u0430\u0443\u0442\u0435\u043D\u0442\u0438\u0444\u0438\u043A\u0430\u0446\u0438\u0438\u043E\u0431\u0449\u0435\u0433\u043E\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u0430 \u0440\u0430\u0437\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u0434\u0430\u043D\u043D\u044B\u0445\u043E\u0431\u0449\u0435\u0433\u043E\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u0430 \u0440\u0430\u0437\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u0439\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438\u043E\u0431\u0449\u0435\u0433\u043E\u0440\u0435\u043A\u0432\u0438\u0437\u0438\u0442\u0430 \u0440\u0435\u0436\u0438\u043C\u0430\u0432\u0442\u043E\u043D\u0443\u043C\u0435\u0440\u0430\u0446\u0438\u0438\u043E\u0431\u044A\u0435\u043A\u0442\u043E\u0432 \u0440\u0435\u0436\u0438\u043C\u0437\u0430\u043F\u0438\u0441\u0438\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430 \u0440\u0435\u0436\u0438\u043C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u043C\u043E\u0434\u0430\u043B\u044C\u043D\u043E\u0441\u0442\u0438 \u0440\u0435\u0436\u0438\u043C\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0441\u0438\u043D\u0445\u0440\u043E\u043D\u043D\u044B\u0445\u0432\u044B\u0437\u043E\u0432\u043E\u0432\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u0439\u043F\u043B\u0430\u0442\u0444\u043E\u0440\u043C\u044B\u0438\u0432\u043D\u0435\u0448\u043D\u0438\u0445\u043A\u043E\u043C\u043F\u043E\u043D\u0435\u043D\u0442 \u0440\u0435\u0436\u0438\u043C\u043F\u043E\u0432\u0442\u043E\u0440\u043D\u043E\u0433\u043E\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0441\u0435\u0430\u043D\u0441\u043E\u0432 \u0440\u0435\u0436\u0438\u043C\u043F\u043E\u043B\u0443\u0447\u0435\u043D\u0438\u044F\u0434\u0430\u043D\u043D\u044B\u0445\u0432\u044B\u0431\u043E\u0440\u0430\u043F\u0440\u0438\u0432\u0432\u043E\u0434\u0435\u043F\u043E\u0441\u0442\u0440\u043E\u043A\u0435 \u0440\u0435\u0436\u0438\u043C\u0441\u043E\u0432\u043C\u0435\u0441\u0442\u0438\u043C\u043E\u0441\u0442\u0438 \u0440\u0435\u0436\u0438\u043C\u0441\u043E\u0432\u043C\u0435\u0441\u0442\u0438\u043C\u043E\u0441\u0442\u0438\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430 \u0440\u0435\u0436\u0438\u043C\u0443\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u044F\u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u043A\u043E\u0439\u0434\u0430\u043D\u043D\u044B\u0445\u043F\u043E\u0443\u043C\u043E\u043B\u0447\u0430\u043D\u0438\u044E \u0441\u0435\u0440\u0438\u0438\u043A\u043E\u0434\u043E\u0432\u043F\u043B\u0430\u043D\u0430\u0432\u0438\u0434\u043E\u0432\u0445\u0430\u0440\u0430\u043A\u0442\u0435\u0440\u0438\u0441\u0442\u0438\u043A \u0441\u0435\u0440\u0438\u0438\u043A\u043E\u0434\u043E\u0432\u043F\u043B\u0430\u043D\u0430\u0441\u0447\u0435\u0442\u043E\u0432 \u0441\u0435\u0440\u0438\u0438\u043A\u043E\u0434\u043E\u0432\u0441\u043F\u0440\u0430\u0432\u043E\u0447\u043D\u0438\u043A\u0430 \u0441\u043E\u0437\u0434\u0430\u043D\u0438\u0435\u043F\u0440\u0438\u0432\u0432\u043E\u0434\u0435 \u0441\u043F\u043E\u0441\u043E\u0431\u0432\u044B\u0431\u043E\u0440\u0430 \u0441\u043F\u043E\u0441\u043E\u0431\u043F\u043E\u0438\u0441\u043A\u0430\u0441\u0442\u0440\u043E\u043A\u0438\u043F\u0440\u0438\u0432\u0432\u043E\u0434\u0435\u043F\u043E\u0441\u0442\u0440\u043E\u043A\u0435 \u0441\u043F\u043E\u0441\u043E\u0431\u0440\u0435\u0434\u0430\u043A\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F \u0442\u0438\u043F\u0434\u0430\u043D\u043D\u044B\u0445\u0442\u0430\u0431\u043B\u0438\u0446\u044B\u0432\u043D\u0435\u0448\u043D\u0435\u0433\u043E\u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0438\u043F\u043A\u043E\u0434\u0430\u043F\u043B\u0430\u043D\u0430\u0432\u0438\u0434\u043E\u0432\u0440\u0430\u0441\u0447\u0435\u0442\u0430 \u0442\u0438\u043F\u043A\u043E\u0434\u0430\u0441\u043F\u0440\u0430\u0432\u043E\u0447\u043D\u0438\u043A\u0430 \u0442\u0438\u043F\u043C\u0430\u043A\u0435\u0442\u0430 \u0442\u0438\u043F\u043D\u043E\u043C\u0435\u0440\u0430\u0431\u0438\u0437\u043D\u0435\u0441\u043F\u0440\u043E\u0446\u0435\u0441\u0441\u0430 \u0442\u0438\u043F\u043D\u043E\u043C\u0435\u0440\u0430\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430 \u0442\u0438\u043F\u043D\u043E\u043C\u0435\u0440\u0430\u0437\u0430\u0434\u0430\u0447\u0438 \u0442\u0438\u043F\u0444\u043E\u0440\u043C\u044B \u0443\u0434\u0430\u043B\u0435\u043D\u0438\u0435\u0434\u0432\u0438\u0436\u0435\u043D\u0438\u0439 ";
        const v8_system_enums_differents = "\u0432\u0430\u0436\u043D\u043E\u0441\u0442\u044C\u043F\u0440\u043E\u0431\u043B\u0435\u043C\u044B\u043F\u0440\u0438\u043C\u0435\u043D\u0435\u043D\u0438\u044F\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u044F\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438 \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0438\u043D\u0442\u0435\u0440\u0444\u0435\u0439\u0441\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u043C\u0430\u0441\u0448\u0442\u0430\u0431\u0430\u0444\u043E\u0440\u043C\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u043E\u0441\u043D\u043E\u0432\u043D\u043E\u0433\u043E\u0448\u0440\u0438\u0444\u0442\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0433\u043E\u043F\u0435\u0440\u0438\u043E\u0434\u0430 \u0432\u0430\u0440\u0438\u0430\u043D\u0442\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0439\u0434\u0430\u0442\u044B\u043D\u0430\u0447\u0430\u043B\u0430 \u0432\u0438\u0434\u0433\u0440\u0430\u043D\u0438\u0446\u044B \u0432\u0438\u0434\u043A\u0430\u0440\u0442\u0438\u043D\u043A\u0438 \u0432\u0438\u0434\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u044F\u043F\u043E\u043B\u043D\u043E\u0442\u0435\u043A\u0441\u0442\u043E\u0432\u043E\u0433\u043E\u043F\u043E\u0438\u0441\u043A\u0430 \u0432\u0438\u0434\u0440\u0430\u043C\u043A\u0438 \u0432\u0438\u0434\u0441\u0440\u0430\u0432\u043D\u0435\u043D\u0438\u044F \u0432\u0438\u0434\u0446\u0432\u0435\u0442\u0430 \u0432\u0438\u0434\u0447\u0438\u0441\u043B\u043E\u0432\u043E\u0433\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F \u0432\u0438\u0434\u0448\u0440\u0438\u0444\u0442\u0430 \u0434\u043E\u043F\u0443\u0441\u0442\u0438\u043C\u0430\u044F\u0434\u043B\u0438\u043D\u0430 \u0434\u043E\u043F\u0443\u0441\u0442\u0438\u043C\u044B\u0439\u0437\u043D\u0430\u043A \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435byteordermark \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u043C\u0435\u0442\u0430\u0434\u0430\u043D\u043D\u044B\u0445\u043F\u043E\u043B\u043D\u043E\u0442\u0435\u043A\u0441\u0442\u043E\u0432\u043E\u0433\u043E\u043F\u043E\u0438\u0441\u043A\u0430 \u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u0439\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438 \u043A\u043B\u0430\u0432\u0438\u0448\u0430 \u043A\u043E\u0434\u0432\u043E\u0437\u0432\u0440\u0430\u0442\u0430\u0434\u0438\u0430\u043B\u043E\u0433\u0430 \u043A\u043E\u0434\u0438\u0440\u043E\u0432\u043A\u0430xbase \u043A\u043E\u0434\u0438\u0440\u043E\u0432\u043A\u0430\u0442\u0435\u043A\u0441\u0442\u0430 \u043D\u0430\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u043E\u0438\u0441\u043A\u0430 \u043D\u0430\u043F\u0440\u0430\u0432\u043B\u0435\u043D\u0438\u0435\u0441\u043E\u0440\u0442\u0438\u0440\u043E\u0432\u043A\u0438 \u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0440\u0435\u0434\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u043D\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445 \u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u0435\u043F\u0440\u0438\u0438\u0437\u043C\u0435\u043D\u0435\u043D\u0438\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043F\u0430\u043D\u0435\u043B\u0438\u0440\u0430\u0437\u0434\u0435\u043B\u043E\u0432 \u043F\u0440\u043E\u0432\u0435\u0440\u043A\u0430\u0437\u0430\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u0434\u0438\u0430\u043B\u043E\u0433\u0430\u0432\u043E\u043F\u0440\u043E\u0441 \u0440\u0435\u0436\u0438\u043C\u0437\u0430\u043F\u0443\u0441\u043A\u0430\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u043E\u043A\u0440\u0443\u0433\u043B\u0435\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u043E\u0442\u043A\u0440\u044B\u0442\u0438\u044F\u0444\u043E\u0440\u043C\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044F \u0440\u0435\u0436\u0438\u043C\u043F\u043E\u043B\u043D\u043E\u0442\u0435\u043A\u0441\u0442\u043E\u0432\u043E\u0433\u043E\u043F\u043E\u0438\u0441\u043A\u0430 \u0441\u043A\u043E\u0440\u043E\u0441\u0442\u044C\u043A\u043B\u0438\u0435\u043D\u0442\u0441\u043A\u043E\u0433\u043E\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u044F \u0441\u043E\u0441\u0442\u043E\u044F\u043D\u0438\u0435\u0432\u043D\u0435\u0448\u043D\u0435\u0433\u043E\u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u043E\u0441\u0442\u043E\u044F\u043D\u0438\u0435\u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u044F\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438\u0431\u0430\u0437\u044B\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u043F\u043E\u0441\u043E\u0431\u0432\u044B\u0431\u043E\u0440\u0430\u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u0430windows \u0441\u043F\u043E\u0441\u043E\u0431\u043A\u043E\u0434\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F\u0441\u0442\u0440\u043E\u043A\u0438 \u0441\u0442\u0430\u0442\u0443\u0441\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u044F \u0442\u0438\u043F\u0432\u043D\u0435\u0448\u043D\u0435\u0439\u043A\u043E\u043C\u043F\u043E\u043D\u0435\u043D\u0442\u044B \u0442\u0438\u043F\u043F\u043B\u0430\u0442\u0444\u043E\u0440\u043C\u044B \u0442\u0438\u043F\u043F\u043E\u0432\u0435\u0434\u0435\u043D\u0438\u044F\u043A\u043B\u0430\u0432\u0438\u0448\u0438enter \u0442\u0438\u043F\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u0438\u043E\u0432\u044B\u043F\u043E\u043B\u043D\u0435\u043D\u0438\u0438\u043E\u0431\u043D\u043E\u0432\u043B\u0435\u043D\u0438\u044F\u043A\u043E\u043D\u0444\u0438\u0433\u0443\u0440\u0430\u0446\u0438\u0438\u0431\u0430\u0437\u044B\u0434\u0430\u043D\u043D\u044B\u0445 \u0443\u0440\u043E\u0432\u0435\u043D\u044C\u0438\u0437\u043E\u043B\u044F\u0446\u0438\u0438\u0442\u0440\u0430\u043D\u0437\u0430\u043A\u0446\u0438\u0439 \u0445\u0435\u0448\u0444\u0443\u043D\u043A\u0446\u0438\u044F \u0447\u0430\u0441\u0442\u0438\u0434\u0430\u0442\u044B";
        const CLASS = v8_system_sets_of_values + v8_system_enums_interface + v8_system_enums_objects_properties + v8_system_enums_exchange_plans + v8_system_enums_tabular_document + v8_system_enums_sheduler + v8_system_enums_formatted_document + v8_system_enums_query + v8_system_enums_report_builder + v8_system_enums_files + v8_system_enums_query_builder + v8_system_enums_data_analysis + v8_system_enums_xml_json_xs_dom_xdto_ws + v8_system_enums_data_composition_system + v8_system_enums_email + v8_system_enums_logbook + v8_system_enums_cryptography + v8_system_enums_zip + v8_system_enums_other + v8_system_enums_request_schema + v8_system_enums_properties_of_metadata_objects + v8_system_enums_differents;
        const v8_shared_object = "com\u043E\u0431\u044A\u0435\u043A\u0442 ftp\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435 http\u0437\u0430\u043F\u0440\u043E\u0441 http\u0441\u0435\u0440\u0432\u0438\u0441\u043E\u0442\u0432\u0435\u0442 http\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435 ws\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u044F ws\u043F\u0440\u043E\u043A\u0441\u0438 xbase \u0430\u043D\u0430\u043B\u0438\u0437\u0434\u0430\u043D\u043D\u044B\u0445 \u0430\u043D\u043D\u043E\u0442\u0430\u0446\u0438\u044Fxs \u0431\u043B\u043E\u043A\u0438\u0440\u043E\u0432\u043A\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u0431\u0443\u0444\u0435\u0440\u0434\u0432\u043E\u0438\u0447\u043D\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445 \u0432\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435xs \u0432\u044B\u0440\u0430\u0436\u0435\u043D\u0438\u0435\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0433\u0435\u043D\u0435\u0440\u0430\u0442\u043E\u0440\u0441\u043B\u0443\u0447\u0430\u0439\u043D\u044B\u0445\u0447\u0438\u0441\u0435\u043B \u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u0430\u044F\u0441\u0445\u0435\u043C\u0430 \u0433\u0435\u043E\u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u0438\u0435\u043A\u043E\u043E\u0440\u0434\u0438\u043D\u0430\u0442\u044B \u0433\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u0430\u044F\u0441\u0445\u0435\u043C\u0430 \u0433\u0440\u0443\u043F\u043F\u0430\u043C\u043E\u0434\u0435\u043B\u0438xs \u0434\u0430\u043D\u043D\u044B\u0435\u0440\u0430\u0441\u0448\u0438\u0444\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0434\u0432\u043E\u0438\u0447\u043D\u044B\u0435\u0434\u0430\u043D\u043D\u044B\u0435 \u0434\u0435\u043D\u0434\u0440\u043E\u0433\u0440\u0430\u043C\u043C\u0430 \u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u0430 \u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u0430\u0433\u0430\u043D\u0442\u0430 \u0434\u0438\u0430\u043B\u043E\u0433\u0432\u044B\u0431\u043E\u0440\u0430\u0444\u0430\u0439\u043B\u0430 \u0434\u0438\u0430\u043B\u043E\u0433\u0432\u044B\u0431\u043E\u0440\u0430\u0446\u0432\u0435\u0442\u0430 \u0434\u0438\u0430\u043B\u043E\u0433\u0432\u044B\u0431\u043E\u0440\u0430\u0448\u0440\u0438\u0444\u0442\u0430 \u0434\u0438\u0430\u043B\u043E\u0433\u0440\u0430\u0441\u043F\u0438\u0441\u0430\u043D\u0438\u044F\u0440\u0435\u0433\u043B\u0430\u043C\u0435\u043D\u0442\u043D\u043E\u0433\u043E\u0437\u0430\u0434\u0430\u043D\u0438\u044F \u0434\u0438\u0430\u043B\u043E\u0433\u0440\u0435\u0434\u0430\u043A\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u044F\u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u043E\u0433\u043E\u043F\u0435\u0440\u0438\u043E\u0434\u0430 \u0434\u0438\u0430\u043F\u0430\u0437\u043E\u043D \u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442dom \u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442html \u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430\u0446\u0438\u044Fxs \u0434\u043E\u0441\u0442\u0430\u0432\u043B\u044F\u0435\u043C\u043E\u0435\u0443\u0432\u0435\u0434\u043E\u043C\u043B\u0435\u043D\u0438\u0435 \u0437\u0430\u043F\u0438\u0441\u044Cdom \u0437\u0430\u043F\u0438\u0441\u044Cfastinfoset \u0437\u0430\u043F\u0438\u0441\u044Chtml \u0437\u0430\u043F\u0438\u0441\u044Cjson \u0437\u0430\u043F\u0438\u0441\u044Cxml \u0437\u0430\u043F\u0438\u0441\u044Czip\u0444\u0430\u0439\u043B\u0430 \u0437\u0430\u043F\u0438\u0441\u044C\u0434\u0430\u043D\u043D\u044B\u0445 \u0437\u0430\u043F\u0438\u0441\u044C\u0442\u0435\u043A\u0441\u0442\u0430 \u0437\u0430\u043F\u0438\u0441\u044C\u0443\u0437\u043B\u043E\u0432dom \u0437\u0430\u043F\u0440\u043E\u0441 \u0437\u0430\u0449\u0438\u0449\u0435\u043D\u043D\u043E\u0435\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435openssl \u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F\u043F\u043E\u043B\u0435\u0439\u0440\u0430\u0441\u0448\u0438\u0444\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0438\u0437\u0432\u043B\u0435\u0447\u0435\u043D\u0438\u0435\u0442\u0435\u043A\u0441\u0442\u0430 \u0438\u043C\u043F\u043E\u0440\u0442xs \u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u043E\u0447\u0442\u0430 \u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u043E\u0447\u0442\u043E\u0432\u043E\u0435\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u0435 \u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u043E\u0447\u0442\u043E\u0432\u044B\u0439\u043F\u0440\u043E\u0444\u0438\u043B\u044C \u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u043F\u0440\u043E\u043A\u0441\u0438 \u0438\u043D\u0442\u0435\u0440\u043D\u0435\u0442\u0441\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435 \u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u044F\u0434\u043B\u044F\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u044Fxs \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0430\u0442\u0440\u0438\u0431\u0443\u0442\u0430xs \u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u0441\u043E\u0431\u044B\u0442\u0438\u044F\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u0438\u0441\u0442\u043E\u0447\u043D\u0438\u043A\u0434\u043E\u0441\u0442\u0443\u043F\u043D\u044B\u0445\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0438\u0442\u0435\u0440\u0430\u0442\u043E\u0440\u0443\u0437\u043B\u043E\u0432dom \u043A\u0430\u0440\u0442\u0438\u043D\u043A\u0430 \u043A\u0432\u0430\u043B\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0440\u044B\u0434\u0430\u0442\u044B \u043A\u0432\u0430\u043B\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0440\u044B\u0434\u0432\u043E\u0438\u0447\u043D\u044B\u0445\u0434\u0430\u043D\u043D\u044B\u0445 \u043A\u0432\u0430\u043B\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0440\u044B\u0441\u0442\u0440\u043E\u043A\u0438 \u043A\u0432\u0430\u043B\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0440\u044B\u0447\u0438\u0441\u043B\u0430 \u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u0449\u0438\u043A\u043C\u0430\u043A\u0435\u0442\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u0449\u0438\u043A\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043A\u043E\u043D\u0441\u0442\u0440\u0443\u043A\u0442\u043E\u0440\u043C\u0430\u043A\u0435\u0442\u0430\u043E\u0444\u043E\u0440\u043C\u043B\u0435\u043D\u0438\u044F\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043A\u043E\u043D\u0441\u0442\u0440\u0443\u043A\u0442\u043E\u0440\u043D\u0430\u0441\u0442\u0440\u043E\u0435\u043A\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043A\u043E\u043D\u0441\u0442\u0440\u0443\u043A\u0442\u043E\u0440\u0444\u043E\u0440\u043C\u0430\u0442\u043D\u043E\u0439\u0441\u0442\u0440\u043E\u043A\u0438 \u043B\u0438\u043D\u0438\u044F \u043C\u0430\u043A\u0435\u0442\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043C\u0430\u043A\u0435\u0442\u043E\u0431\u043B\u0430\u0441\u0442\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043C\u0430\u043A\u0435\u0442\u043E\u0444\u043E\u0440\u043C\u043B\u0435\u043D\u0438\u044F\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043C\u0430\u0441\u043A\u0430xs \u043C\u0435\u043D\u0435\u0434\u0436\u0435\u0440\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0438 \u043D\u0430\u0431\u043E\u0440\u0441\u0445\u0435\u043Cxml \u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043D\u0430\u0441\u0442\u0440\u043E\u0439\u043A\u0438\u0441\u0435\u0440\u0438\u0430\u043B\u0438\u0437\u0430\u0446\u0438\u0438json \u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0430\u043A\u0430\u0440\u0442\u0438\u043D\u043E\u043A \u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0430\u0440\u0430\u0441\u0448\u0438\u0444\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043E\u0431\u0445\u043E\u0434\u0434\u0435\u0440\u0435\u0432\u0430dom \u043E\u0431\u044A\u044F\u0432\u043B\u0435\u043D\u0438\u0435\u0430\u0442\u0440\u0438\u0431\u0443\u0442\u0430xs \u043E\u0431\u044A\u044F\u0432\u043B\u0435\u043D\u0438\u0435\u043D\u043E\u0442\u0430\u0446\u0438\u0438xs \u043E\u0431\u044A\u044F\u0432\u043B\u0435\u043D\u0438\u0435\u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0430xs \u043E\u043F\u0438\u0441\u0430\u043D\u0438\u0435\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0441\u043E\u0431\u044B\u0442\u0438\u044F\u0434\u043E\u0441\u0442\u0443\u043F\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u043E\u043F\u0438\u0441\u0430\u043D\u0438\u0435\u0438\u0441\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u043D\u0438\u044F\u0441\u043E\u0431\u044B\u0442\u0438\u044F\u043E\u0442\u043A\u0430\u0437\u0432\u0434\u043E\u0441\u0442\u0443\u043F\u0435\u0436\u0443\u0440\u043D\u0430\u043B\u0430\u0440\u0435\u0433\u0438\u0441\u0442\u0440\u0430\u0446\u0438\u0438 \u043E\u043F\u0438\u0441\u0430\u043D\u0438\u0435\u043E\u0431\u0440\u0430\u0431\u043E\u0442\u043A\u0438\u0440\u0430\u0441\u0448\u0438\u0444\u0440\u043E\u0432\u043A\u0438\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043E\u043F\u0438\u0441\u0430\u043D\u0438\u0435\u043F\u0435\u0440\u0435\u0434\u0430\u0432\u0430\u0435\u043C\u043E\u0433\u043E\u0444\u0430\u0439\u043B\u0430 \u043E\u043F\u0438\u0441\u0430\u043D\u0438\u0435\u0442\u0438\u043F\u043E\u0432 \u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u0433\u0440\u0443\u043F\u043F\u044B\u0430\u0442\u0440\u0438\u0431\u0443\u0442\u043E\u0432xs \u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u0433\u0440\u0443\u043F\u043F\u044B\u043C\u043E\u0434\u0435\u043B\u0438xs \u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u043E\u0433\u0440\u0430\u043D\u0438\u0447\u0435\u043D\u0438\u044F\u0438\u0434\u0435\u043D\u0442\u0438\u0447\u043D\u043E\u0441\u0442\u0438xs \u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u043F\u0440\u043E\u0441\u0442\u043E\u0433\u043E\u0442\u0438\u043F\u0430xs \u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u0441\u043E\u0441\u0442\u0430\u0432\u043D\u043E\u0433\u043E\u0442\u0438\u043F\u0430xs \u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u0435\u0442\u0438\u043F\u0430\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u0430dom \u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u044Fxpathxs \u043E\u0442\u0431\u043E\u0440\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u0430\u043A\u0435\u0442\u043E\u0442\u043E\u0431\u0440\u0430\u0436\u0430\u0435\u043C\u044B\u0445\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442\u043E\u0432 \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u0432\u044B\u0431\u043E\u0440\u0430 \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u044B\u0437\u0430\u043F\u0438\u0441\u0438json \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u044B\u0437\u0430\u043F\u0438\u0441\u0438xml \u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u044B\u0447\u0442\u0435\u043D\u0438\u044Fxml \u043F\u0435\u0440\u0435\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u0438\u0435xs \u043F\u043B\u0430\u043D\u0438\u0440\u043E\u0432\u0449\u0438\u043A \u043F\u043E\u043B\u0435\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u043E\u043B\u0435\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044Cdom \u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044C\u0437\u0430\u043F\u0440\u043E\u0441\u0430 \u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044C\u043E\u0442\u0447\u0435\u0442\u0430 \u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044C\u043E\u0442\u0447\u0435\u0442\u0430\u0430\u043D\u0430\u043B\u0438\u0437\u0430\u0434\u0430\u043D\u043D\u044B\u0445 \u043F\u043E\u0441\u0442\u0440\u043E\u0438\u0442\u0435\u043B\u044C\u0441\u0445\u0435\u043Cxml \u043F\u043E\u0442\u043E\u043A \u043F\u043E\u0442\u043E\u043A\u0432\u043F\u0430\u043C\u044F\u0442\u0438 \u043F\u043E\u0447\u0442\u0430 \u043F\u043E\u0447\u0442\u043E\u0432\u043E\u0435\u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u0435 \u043F\u0440\u0435\u043E\u0431\u0440\u0430\u0437\u043E\u0432\u0430\u043D\u0438\u0435xsl \u043F\u0440\u0435\u043E\u0431\u0440\u0430\u0437\u043E\u0432\u0430\u043D\u0438\u0435\u043A\u043A\u0430\u043D\u043E\u043D\u0438\u0447\u0435\u0441\u043A\u043E\u043C\u0443xml \u043F\u0440\u043E\u0446\u0435\u0441\u0441\u043E\u0440\u0432\u044B\u0432\u043E\u0434\u0430\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445\u0432\u043A\u043E\u043B\u043B\u0435\u043A\u0446\u0438\u044E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439 \u043F\u0440\u043E\u0446\u0435\u0441\u0441\u043E\u0440\u0432\u044B\u0432\u043E\u0434\u0430\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445\u0432\u0442\u0430\u0431\u043B\u0438\u0447\u043D\u044B\u0439\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442 \u043F\u0440\u043E\u0446\u0435\u0441\u0441\u043E\u0440\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0440\u0430\u0437\u044B\u043C\u0435\u043D\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u043F\u0440\u043E\u0441\u0442\u0440\u0430\u043D\u0441\u0442\u0432\u0438\u043C\u0435\u043Ddom \u0440\u0430\u043C\u043A\u0430 \u0440\u0430\u0441\u043F\u0438\u0441\u0430\u043D\u0438\u0435\u0440\u0435\u0433\u043B\u0430\u043C\u0435\u043D\u0442\u043D\u043E\u0433\u043E\u0437\u0430\u0434\u0430\u043D\u0438\u044F \u0440\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u043D\u043E\u0435\u0438\u043C\u044Fxml \u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0447\u0442\u0435\u043D\u0438\u044F\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u0432\u043E\u0434\u043D\u0430\u044F\u0434\u0438\u0430\u0433\u0440\u0430\u043C\u043C\u0430 \u0441\u0432\u044F\u0437\u044C\u043F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u0430\u0432\u044B\u0431\u043E\u0440\u0430 \u0441\u0432\u044F\u0437\u044C\u043F\u043E\u0442\u0438\u043F\u0443 \u0441\u0432\u044F\u0437\u044C\u043F\u043E\u0442\u0438\u043F\u0443\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u0435\u0440\u0438\u0430\u043B\u0438\u0437\u0430\u0442\u043E\u0440xdto \u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u043A\u043B\u0438\u0435\u043D\u0442\u0430windows \u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u043A\u043B\u0438\u0435\u043D\u0442\u0430\u0444\u0430\u0439\u043B \u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u043A\u0440\u0438\u043F\u0442\u043E\u0433\u0440\u0430\u0444\u0438\u0438 \u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u044B\u0443\u0434\u043E\u0441\u0442\u043E\u0432\u0435\u0440\u044F\u044E\u0449\u0438\u0445\u0446\u0435\u043D\u0442\u0440\u043E\u0432windows \u0441\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u044B\u0443\u0434\u043E\u0441\u0442\u043E\u0432\u0435\u0440\u044F\u044E\u0449\u0438\u0445\u0446\u0435\u043D\u0442\u0440\u043E\u0432\u0444\u0430\u0439\u043B \u0441\u0436\u0430\u0442\u0438\u0435\u0434\u0430\u043D\u043D\u044B\u0445 \u0441\u0438\u0441\u0442\u0435\u043C\u043D\u0430\u044F\u0438\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u044F \u0441\u043E\u043E\u0431\u0449\u0435\u043D\u0438\u0435\u043F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044E \u0441\u043E\u0447\u0435\u0442\u0430\u043D\u0438\u0435\u043A\u043B\u0430\u0432\u0438\u0448 \u0441\u0440\u0430\u0432\u043D\u0435\u043D\u0438\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439 \u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u0430\u044F\u0434\u0430\u0442\u0430\u043D\u0430\u0447\u0430\u043B\u0430 \u0441\u0442\u0430\u043D\u0434\u0430\u0440\u0442\u043D\u044B\u0439\u043F\u0435\u0440\u0438\u043E\u0434 \u0441\u0445\u0435\u043C\u0430xml \u0441\u0445\u0435\u043C\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 \u0442\u0430\u0431\u043B\u0438\u0447\u043D\u044B\u0439\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442 \u0442\u0435\u043A\u0441\u0442\u043E\u0432\u044B\u0439\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442 \u0442\u0435\u0441\u0442\u0438\u0440\u0443\u0435\u043C\u043E\u0435\u043F\u0440\u0438\u043B\u043E\u0436\u0435\u043D\u0438\u0435 \u0442\u0438\u043F\u0434\u0430\u043D\u043D\u044B\u0445xml \u0443\u043D\u0438\u043A\u0430\u043B\u044C\u043D\u044B\u0439\u0438\u0434\u0435\u043D\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u043E\u0440 \u0444\u0430\u0431\u0440\u0438\u043A\u0430xdto \u0444\u0430\u0439\u043B \u0444\u0430\u0439\u043B\u043E\u0432\u044B\u0439\u043F\u043E\u0442\u043E\u043A \u0444\u0430\u0441\u0435\u0442\u0434\u043B\u0438\u043D\u044Bxs \u0444\u0430\u0441\u0435\u0442\u043A\u043E\u043B\u0438\u0447\u0435\u0441\u0442\u0432\u0430\u0440\u0430\u0437\u0440\u044F\u0434\u043E\u0432\u0434\u0440\u043E\u0431\u043D\u043E\u0439\u0447\u0430\u0441\u0442\u0438xs \u0444\u0430\u0441\u0435\u0442\u043C\u0430\u043A\u0441\u0438\u043C\u0430\u043B\u044C\u043D\u043E\u0433\u043E\u0432\u043A\u043B\u044E\u0447\u0430\u044E\u0449\u0435\u0433\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044Fxs \u0444\u0430\u0441\u0435\u0442\u043C\u0430\u043A\u0441\u0438\u043C\u0430\u043B\u044C\u043D\u043E\u0433\u043E\u0438\u0441\u043A\u043B\u044E\u0447\u0430\u044E\u0449\u0435\u0433\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044Fxs \u0444\u0430\u0441\u0435\u0442\u043C\u0430\u043A\u0441\u0438\u043C\u0430\u043B\u044C\u043D\u043E\u0439\u0434\u043B\u0438\u043D\u044Bxs \u0444\u0430\u0441\u0435\u0442\u043C\u0438\u043D\u0438\u043C\u0430\u043B\u044C\u043D\u043E\u0433\u043E\u0432\u043A\u043B\u044E\u0447\u0430\u044E\u0449\u0435\u0433\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044Fxs \u0444\u0430\u0441\u0435\u0442\u043C\u0438\u043D\u0438\u043C\u0430\u043B\u044C\u043D\u043E\u0433\u043E\u0438\u0441\u043A\u043B\u044E\u0447\u0430\u044E\u0449\u0435\u0433\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044Fxs \u0444\u0430\u0441\u0435\u0442\u043C\u0438\u043D\u0438\u043C\u0430\u043B\u044C\u043D\u043E\u0439\u0434\u043B\u0438\u043D\u044Bxs \u0444\u0430\u0441\u0435\u0442\u043E\u0431\u0440\u0430\u0437\u0446\u0430xs \u0444\u0430\u0441\u0435\u0442\u043E\u0431\u0449\u0435\u0433\u043E\u043A\u043E\u043B\u0438\u0447\u0435\u0441\u0442\u0432\u0430\u0440\u0430\u0437\u0440\u044F\u0434\u043E\u0432xs \u0444\u0430\u0441\u0435\u0442\u043F\u0435\u0440\u0435\u0447\u0438\u0441\u043B\u0435\u043D\u0438\u044Fxs \u0444\u0430\u0441\u0435\u0442\u043F\u0440\u043E\u0431\u0435\u043B\u044C\u043D\u044B\u0445\u0441\u0438\u043C\u0432\u043E\u043B\u043E\u0432xs \u0444\u0438\u043B\u044C\u0442\u0440\u0443\u0437\u043B\u043E\u0432dom \u0444\u043E\u0440\u043C\u0430\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u0430\u044F\u0441\u0442\u0440\u043E\u043A\u0430 \u0444\u043E\u0440\u043C\u0430\u0442\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u044B\u0439\u0434\u043E\u043A\u0443\u043C\u0435\u043D\u0442 \u0444\u0440\u0430\u0433\u043C\u0435\u043D\u0442xs \u0445\u0435\u0448\u0438\u0440\u043E\u0432\u0430\u043D\u0438\u0435\u0434\u0430\u043D\u043D\u044B\u0445 \u0445\u0440\u0430\u043D\u0438\u043B\u0438\u0449\u0435\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u044F \u0446\u0432\u0435\u0442 \u0447\u0442\u0435\u043D\u0438\u0435fastinfoset \u0447\u0442\u0435\u043D\u0438\u0435html \u0447\u0442\u0435\u043D\u0438\u0435json \u0447\u0442\u0435\u043D\u0438\u0435xml \u0447\u0442\u0435\u043D\u0438\u0435zip\u0444\u0430\u0439\u043B\u0430 \u0447\u0442\u0435\u043D\u0438\u0435\u0434\u0430\u043D\u043D\u044B\u0445 \u0447\u0442\u0435\u043D\u0438\u0435\u0442\u0435\u043A\u0441\u0442\u0430 \u0447\u0442\u0435\u043D\u0438\u0435\u0443\u0437\u043B\u043E\u0432dom \u0448\u0440\u0438\u0444\u0442 \u044D\u043B\u0435\u043C\u0435\u043D\u0442\u0440\u0435\u0437\u0443\u043B\u044C\u0442\u0430\u0442\u0430\u043A\u043E\u043C\u043F\u043E\u043D\u043E\u0432\u043A\u0438\u0434\u0430\u043D\u043D\u044B\u0445 ";
        const v8_universal_collection = "comsafearray \u0434\u0435\u0440\u0435\u0432\u043E\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439 \u043C\u0430\u0441\u0441\u0438\u0432 \u0441\u043E\u043E\u0442\u0432\u0435\u0442\u0441\u0442\u0432\u0438\u0435 \u0441\u043F\u0438\u0441\u043E\u043A\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439 \u0441\u0442\u0440\u0443\u043A\u0442\u0443\u0440\u0430 \u0442\u0430\u0431\u043B\u0438\u0446\u0430\u0437\u043D\u0430\u0447\u0435\u043D\u0438\u0439 \u0444\u0438\u043A\u0441\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u0430\u044F\u0441\u0442\u0440\u0443\u043A\u0442\u0443\u0440\u0430 \u0444\u0438\u043A\u0441\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u043E\u0435\u0441\u043E\u043E\u0442\u0432\u0435\u0442\u0441\u0442\u0432\u0438\u0435 \u0444\u0438\u043A\u0441\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u044B\u0439\u043C\u0430\u0441\u0441\u0438\u0432 ";
        const TYPE = v8_shared_object + v8_universal_collection;
        const LITERAL = "null \u0438\u0441\u0442\u0438\u043D\u0430 \u043B\u043E\u0436\u044C \u043D\u0435\u043E\u043F\u0440\u0435\u0434\u0435\u043B\u0435\u043D\u043E";
        const NUMBERS = hljs.inherit(hljs.NUMBER_MODE);
        const STRINGS = {
          className: "string",
          begin: '"|\\|',
          end: '"|$',
          contains: [{ begin: '""' }]
        };
        const DATE = {
          begin: "'",
          end: "'",
          excludeBegin: true,
          excludeEnd: true,
          contains: [
            {
              className: "number",
              begin: "\\d{4}([\\.\\\\/:-]?\\d{2}){0,5}"
            }
          ]
        };
        const PUNCTUATION = {
          match: /[;()+\-:=,]/,
          className: "punctuation",
          relevance: 0
        };
        const COMMENTS = hljs.inherit(hljs.C_LINE_COMMENT_MODE);
        const META = {
          className: "meta",
          begin: "#|&",
          end: "$",
          keywords: {
            $pattern: UNDERSCORE_IDENT_RE,
            keyword: KEYWORD + METAKEYWORD
          },
          contains: [COMMENTS]
        };
        const SYMBOL = {
          className: "symbol",
          begin: "~",
          end: ";|:",
          excludeEnd: true
        };
        const FUNCTION = {
          className: "function",
          variants: [
            {
              begin: "\u043F\u0440\u043E\u0446\u0435\u0434\u0443\u0440\u0430|\u0444\u0443\u043D\u043A\u0446\u0438\u044F",
              end: "\\)",
              keywords: "\u043F\u0440\u043E\u0446\u0435\u0434\u0443\u0440\u0430 \u0444\u0443\u043D\u043A\u0446\u0438\u044F"
            },
            {
              begin: "\u043A\u043E\u043D\u0435\u0446\u043F\u0440\u043E\u0446\u0435\u0434\u0443\u0440\u044B|\u043A\u043E\u043D\u0435\u0446\u0444\u0443\u043D\u043A\u0446\u0438\u0438",
              keywords: "\u043A\u043E\u043D\u0435\u0446\u043F\u0440\u043E\u0446\u0435\u0434\u0443\u0440\u044B \u043A\u043E\u043D\u0435\u0446\u0444\u0443\u043D\u043A\u0446\u0438\u0438"
            }
          ],
          contains: [
            {
              begin: "\\(",
              end: "\\)",
              endsParent: true,
              contains: [
                {
                  className: "params",
                  begin: UNDERSCORE_IDENT_RE,
                  end: ",",
                  excludeEnd: true,
                  endsWithParent: true,
                  keywords: {
                    $pattern: UNDERSCORE_IDENT_RE,
                    keyword: "\u0437\u043D\u0430\u0447",
                    literal: LITERAL
                  },
                  contains: [
                    NUMBERS,
                    STRINGS,
                    DATE
                  ]
                },
                COMMENTS
              ]
            },
            hljs.inherit(hljs.TITLE_MODE, { begin: UNDERSCORE_IDENT_RE })
          ]
        };
        return {
          name: "1C:Enterprise",
          case_insensitive: true,
          keywords: {
            $pattern: UNDERSCORE_IDENT_RE,
            keyword: KEYWORD,
            built_in: BUILTIN,
            class: CLASS,
            type: TYPE,
            literal: LITERAL
          },
          contains: [
            META,
            FUNCTION,
            COMMENTS,
            SYMBOL,
            NUMBERS,
            STRINGS,
            DATE,
            PUNCTUATION
          ]
        };
      }
      module.exports = _1c;
    }
  });

  // node_modules/highlight.js/lib/languages/abnf.js
  var require_abnf = __commonJS({
    "node_modules/highlight.js/lib/languages/abnf.js"(exports, module) {
      function abnf(hljs) {
        const regex = hljs.regex;
        const IDENT = /^[a-zA-Z][a-zA-Z0-9-]*/;
        const KEYWORDS = [
          "ALPHA",
          "BIT",
          "CHAR",
          "CR",
          "CRLF",
          "CTL",
          "DIGIT",
          "DQUOTE",
          "HEXDIG",
          "HTAB",
          "LF",
          "LWSP",
          "OCTET",
          "SP",
          "VCHAR",
          "WSP"
        ];
        const COMMENT = hljs.COMMENT(/;/, /$/);
        const TERMINAL_BINARY = {
          scope: "symbol",
          match: /%b[0-1]+(-[0-1]+|(\.[0-1]+)+)?/
        };
        const TERMINAL_DECIMAL = {
          scope: "symbol",
          match: /%d[0-9]+(-[0-9]+|(\.[0-9]+)+)?/
        };
        const TERMINAL_HEXADECIMAL = {
          scope: "symbol",
          match: /%x[0-9A-F]+(-[0-9A-F]+|(\.[0-9A-F]+)+)?/
        };
        const CASE_SENSITIVITY = {
          scope: "symbol",
          match: /%[si](?=".*")/
        };
        const RULE_DECLARATION = {
          scope: "attribute",
          match: regex.concat(IDENT, /(?=\s*=)/)
        };
        const ASSIGNMENT = {
          scope: "operator",
          match: /=\/?/
        };
        return {
          name: "Augmented Backus-Naur Form",
          illegal: /[!@#$^&',?+~`|:]/,
          keywords: KEYWORDS,
          contains: [
            ASSIGNMENT,
            RULE_DECLARATION,
            COMMENT,
            TERMINAL_BINARY,
            TERMINAL_DECIMAL,
            TERMINAL_HEXADECIMAL,
            CASE_SENSITIVITY,
            hljs.QUOTE_STRING_MODE,
            hljs.NUMBER_MODE
          ]
        };
      }
      module.exports = abnf;
    }
  });

  // node_modules/highlight.js/lib/languages/accesslog.js
  var require_accesslog = __commonJS({
    "node_modules/highlight.js/lib/languages/accesslog.js"(exports, module) {
      function accesslog(hljs) {
        const regex = hljs.regex;
        const HTTP_VERBS = [
          "GET",
          "POST",
          "HEAD",
          "PUT",
          "DELETE",
          "CONNECT",
          "OPTIONS",
          "PATCH",
          "TRACE"
        ];
        return {
          name: "Apache Access Log",
          contains: [
            // IP
            {
              className: "number",
              begin: /^\d{1,3}\.\d{1,3}\.\d{1,3}\.\d{1,3}(:\d{1,5})?\b/,
              relevance: 5
            },
            // Other numbers
            {
              className: "number",
              begin: /\b\d+\b/,
              relevance: 0
            },
            // Requests
            {
              className: "string",
              begin: regex.concat(/"/, regex.either(...HTTP_VERBS)),
              end: /"/,
              keywords: HTTP_VERBS,
              illegal: /\n/,
              relevance: 5,
              contains: [
                {
                  begin: /HTTP\/[12]\.\d'/,
                  relevance: 5
                }
              ]
            },
            // Dates
            {
              className: "string",
              // dates must have a certain length, this prevents matching
              // simple array accesses a[123] and [] and other common patterns
              // found in other languages
              begin: /\[\d[^\]\n]{8,}\]/,
              illegal: /\n/,
              relevance: 1
            },
            {
              className: "string",
              begin: /\[/,
              end: /\]/,
              illegal: /\n/,
              relevance: 0
            },
            // User agent / relevance boost
            {
              className: "string",
              begin: /"Mozilla\/\d\.\d \(/,
              end: /"/,
              illegal: /\n/,
              relevance: 3
            },
            // Strings
            {
              className: "string",
              begin: /"/,
              end: /"/,
              illegal: /\n/,
              relevance: 0
            }
          ]
        };
      }
      module.exports = accesslog;
    }
  });

  // node_modules/highlight.js/lib/languages/actionscript.js
  var require_actionscript = __commonJS({
    "node_modules/highlight.js/lib/languages/actionscript.js"(exports, module) {
      function actionscript(hljs) {
        const regex = hljs.regex;
        const IDENT_RE = /[a-zA-Z_$][a-zA-Z0-9_$]*/;
        const PKG_NAME_RE = regex.concat(
          IDENT_RE,
          regex.concat("(\\.", IDENT_RE, ")*")
        );
        const IDENT_FUNC_RETURN_TYPE_RE = /([*]|[a-zA-Z_$][a-zA-Z0-9_$]*)/;
        const AS3_REST_ARG_MODE = {
          className: "rest_arg",
          begin: /[.]{3}/,
          end: IDENT_RE,
          relevance: 10
        };
        const KEYWORDS = [
          "as",
          "break",
          "case",
          "catch",
          "class",
          "const",
          "continue",
          "default",
          "delete",
          "do",
          "dynamic",
          "each",
          "else",
          "extends",
          "final",
          "finally",
          "for",
          "function",
          "get",
          "if",
          "implements",
          "import",
          "in",
          "include",
          "instanceof",
          "interface",
          "internal",
          "is",
          "namespace",
          "native",
          "new",
          "override",
          "package",
          "private",
          "protected",
          "public",
          "return",
          "set",
          "static",
          "super",
          "switch",
          "this",
          "throw",
          "try",
          "typeof",
          "use",
          "var",
          "void",
          "while",
          "with"
        ];
        const LITERALS = [
          "true",
          "false",
          "null",
          "undefined"
        ];
        return {
          name: "ActionScript",
          aliases: ["as"],
          keywords: {
            keyword: KEYWORDS,
            literal: LITERALS
          },
          contains: [
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.C_NUMBER_MODE,
            {
              match: [
                /\bpackage/,
                /\s+/,
                PKG_NAME_RE
              ],
              className: {
                1: "keyword",
                3: "title.class"
              }
            },
            {
              match: [
                /\b(?:class|interface|extends|implements)/,
                /\s+/,
                IDENT_RE
              ],
              className: {
                1: "keyword",
                3: "title.class"
              }
            },
            {
              className: "meta",
              beginKeywords: "import include",
              end: /;/,
              keywords: { keyword: "import include" }
            },
            {
              beginKeywords: "function",
              end: /[{;]/,
              excludeEnd: true,
              illegal: /\S/,
              contains: [
                hljs.inherit(hljs.TITLE_MODE, { className: "title.function" }),
                {
                  className: "params",
                  begin: /\(/,
                  end: /\)/,
                  contains: [
                    hljs.APOS_STRING_MODE,
                    hljs.QUOTE_STRING_MODE,
                    hljs.C_LINE_COMMENT_MODE,
                    hljs.C_BLOCK_COMMENT_MODE,
                    AS3_REST_ARG_MODE
                  ]
                },
                { begin: regex.concat(/:\s*/, IDENT_FUNC_RETURN_TYPE_RE) }
              ]
            },
            hljs.METHOD_GUARD
          ],
          illegal: /#/
        };
      }
      module.exports = actionscript;
    }
  });

  // node_modules/highlight.js/lib/languages/ada.js
  var require_ada = __commonJS({
    "node_modules/highlight.js/lib/languages/ada.js"(exports, module) {
      function ada(hljs) {
        const INTEGER_RE = "\\d(_|\\d)*";
        const EXPONENT_RE = "[eE][-+]?" + INTEGER_RE;
        const DECIMAL_LITERAL_RE = INTEGER_RE + "(\\." + INTEGER_RE + ")?(" + EXPONENT_RE + ")?";
        const BASED_INTEGER_RE = "\\w+";
        const BASED_LITERAL_RE = INTEGER_RE + "#" + BASED_INTEGER_RE + "(\\." + BASED_INTEGER_RE + ")?#(" + EXPONENT_RE + ")?";
        const NUMBER_RE = "\\b(" + BASED_LITERAL_RE + "|" + DECIMAL_LITERAL_RE + ")";
        const ID_REGEX = "[A-Za-z](_?[A-Za-z0-9.])*";
        const BAD_CHARS = `[]\\{\\}%#'"`;
        const COMMENTS = hljs.COMMENT("--", "$");
        const VAR_DECLS = {
          // TODO: These spaces are not required by the Ada syntax
          // however, I have yet to see handwritten Ada code where
          // someone does not put spaces around :
          begin: "\\s+:\\s+",
          end: "\\s*(:=|;|\\)|=>|$)",
          // endsWithParent: true,
          // returnBegin: true,
          illegal: BAD_CHARS,
          contains: [
            {
              // workaround to avoid highlighting
              // named loops and declare blocks
              beginKeywords: "loop for declare others",
              endsParent: true
            },
            {
              // properly highlight all modifiers
              className: "keyword",
              beginKeywords: "not null constant access function procedure in out aliased exception"
            },
            {
              className: "type",
              begin: ID_REGEX,
              endsParent: true,
              relevance: 0
            }
          ]
        };
        const KEYWORDS = [
          "abort",
          "else",
          "new",
          "return",
          "abs",
          "elsif",
          "not",
          "reverse",
          "abstract",
          "end",
          "accept",
          "entry",
          "select",
          "access",
          "exception",
          "of",
          "separate",
          "aliased",
          "exit",
          "or",
          "some",
          "all",
          "others",
          "subtype",
          "and",
          "for",
          "out",
          "synchronized",
          "array",
          "function",
          "overriding",
          "at",
          "tagged",
          "generic",
          "package",
          "task",
          "begin",
          "goto",
          "pragma",
          "terminate",
          "body",
          "private",
          "then",
          "if",
          "procedure",
          "type",
          "case",
          "in",
          "protected",
          "constant",
          "interface",
          "is",
          "raise",
          "use",
          "declare",
          "range",
          "delay",
          "limited",
          "record",
          "when",
          "delta",
          "loop",
          "rem",
          "while",
          "digits",
          "renames",
          "with",
          "do",
          "mod",
          "requeue",
          "xor"
        ];
        return {
          name: "Ada",
          case_insensitive: true,
          keywords: {
            keyword: KEYWORDS,
            literal: [
              "True",
              "False"
            ]
          },
          contains: [
            COMMENTS,
            // strings "foobar"
            {
              className: "string",
              begin: /"/,
              end: /"/,
              contains: [
                {
                  begin: /""/,
                  relevance: 0
                }
              ]
            },
            // characters ''
            {
              // character literals always contain one char
              className: "string",
              begin: /'.'/
            },
            {
              // number literals
              className: "number",
              begin: NUMBER_RE,
              relevance: 0
            },
            {
              // Attributes
              className: "symbol",
              begin: "'" + ID_REGEX
            },
            {
              // package definition, maybe inside generic
              className: "title",
              begin: "(\\bwith\\s+)?(\\bprivate\\s+)?\\bpackage\\s+(\\bbody\\s+)?",
              end: "(is|$)",
              keywords: "package body",
              excludeBegin: true,
              excludeEnd: true,
              illegal: BAD_CHARS
            },
            {
              // function/procedure declaration/definition
              // maybe inside generic
              begin: "(\\b(with|overriding)\\s+)?\\b(function|procedure)\\s+",
              end: "(\\bis|\\bwith|\\brenames|\\)\\s*;)",
              keywords: "overriding function procedure with is renames return",
              // we need to re-match the 'function' keyword, so that
              // the title mode below matches only exactly once
              returnBegin: true,
              contains: [
                COMMENTS,
                {
                  // name of the function/procedure
                  className: "title",
                  begin: "(\\bwith\\s+)?\\b(function|procedure)\\s+",
                  end: "(\\(|\\s+|$)",
                  excludeBegin: true,
                  excludeEnd: true,
                  illegal: BAD_CHARS
                },
                // 'self'
                // // parameter types
                VAR_DECLS,
                {
                  // return type
                  className: "type",
                  begin: "\\breturn\\s+",
                  end: "(\\s+|;|$)",
                  keywords: "return",
                  excludeBegin: true,
                  excludeEnd: true,
                  // we are done with functions
                  endsParent: true,
                  illegal: BAD_CHARS
                }
              ]
            },
            {
              // new type declarations
              // maybe inside generic
              className: "type",
              begin: "\\b(sub)?type\\s+",
              end: "\\s+",
              keywords: "type",
              excludeBegin: true,
              illegal: BAD_CHARS
            },
            // see comment above the definition
            VAR_DECLS
            // no markup
            // relevance boosters for small snippets
            // {begin: '\\s*=>\\s*'},
            // {begin: '\\s*:=\\s*'},
            // {begin: '\\s+:=\\s+'},
          ]
        };
      }
      module.exports = ada;
    }
  });

  // node_modules/highlight.js/lib/languages/angelscript.js
  var require_angelscript = __commonJS({
    "node_modules/highlight.js/lib/languages/angelscript.js"(exports, module) {
      function angelscript(hljs) {
        const builtInTypeMode = {
          className: "built_in",
          begin: "\\b(void|bool|int8|int16|int32|int64|int|uint8|uint16|uint32|uint64|uint|string|ref|array|double|float|auto|dictionary)"
        };
        const objectHandleMode = {
          className: "symbol",
          begin: "[a-zA-Z0-9_]+@"
        };
        const genericMode = {
          className: "keyword",
          begin: "<",
          end: ">",
          contains: [
            builtInTypeMode,
            objectHandleMode
          ]
        };
        builtInTypeMode.contains = [genericMode];
        objectHandleMode.contains = [genericMode];
        const KEYWORDS = [
          "for",
          "in|0",
          "break",
          "continue",
          "while",
          "do|0",
          "return",
          "if",
          "else",
          "case",
          "switch",
          "namespace",
          "is",
          "cast",
          "or",
          "and",
          "xor",
          "not",
          "get|0",
          "in",
          "inout|10",
          "out",
          "override",
          "set|0",
          "private",
          "public",
          "const",
          "default|0",
          "final",
          "shared",
          "external",
          "mixin|10",
          "enum",
          "typedef",
          "funcdef",
          "this",
          "super",
          "import",
          "from",
          "interface",
          "abstract|0",
          "try",
          "catch",
          "protected",
          "explicit",
          "property"
        ];
        return {
          name: "AngelScript",
          aliases: ["asc"],
          keywords: KEYWORDS,
          // avoid close detection with C# and JS
          illegal: "(^using\\s+[A-Za-z0-9_\\.]+;$|\\bfunction\\s*[^\\(])",
          contains: [
            {
              // 'strings'
              className: "string",
              begin: "'",
              end: "'",
              illegal: "\\n",
              contains: [hljs.BACKSLASH_ESCAPE],
              relevance: 0
            },
            // """heredoc strings"""
            {
              className: "string",
              begin: '"""',
              end: '"""'
            },
            {
              // "strings"
              className: "string",
              begin: '"',
              end: '"',
              illegal: "\\n",
              contains: [hljs.BACKSLASH_ESCAPE],
              relevance: 0
            },
            hljs.C_LINE_COMMENT_MODE,
            // single-line comments
            hljs.C_BLOCK_COMMENT_MODE,
            // comment blocks
            {
              // metadata
              className: "string",
              begin: "^\\s*\\[",
              end: "\\]"
            },
            {
              // interface or namespace declaration
              beginKeywords: "interface namespace",
              end: /\{/,
              illegal: "[;.\\-]",
              contains: [
                {
                  // interface or namespace name
                  className: "symbol",
                  begin: "[a-zA-Z0-9_]+"
                }
              ]
            },
            {
              // class declaration
              beginKeywords: "class",
              end: /\{/,
              illegal: "[;.\\-]",
              contains: [
                {
                  // class name
                  className: "symbol",
                  begin: "[a-zA-Z0-9_]+",
                  contains: [
                    {
                      begin: "[:,]\\s*",
                      contains: [
                        {
                          className: "symbol",
                          begin: "[a-zA-Z0-9_]+"
                        }
                      ]
                    }
                  ]
                }
              ]
            },
            builtInTypeMode,
            // built-in types
            objectHandleMode,
            // object handles
            {
              // literals
              className: "literal",
              begin: "\\b(null|true|false)"
            },
            {
              // numbers
              className: "number",
              relevance: 0,
              begin: "(-?)(\\b0[xXbBoOdD][a-fA-F0-9]+|(\\b\\d+(\\.\\d*)?f?|\\.\\d+f?)([eE][-+]?\\d+f?)?)"
            }
          ]
        };
      }
      module.exports = angelscript;
    }
  });

  // node_modules/highlight.js/lib/languages/apache.js
  var require_apache = __commonJS({
    "node_modules/highlight.js/lib/languages/apache.js"(exports, module) {
      function apache(hljs) {
        const NUMBER_REF = {
          className: "number",
          begin: /[$%]\d+/
        };
        const NUMBER = {
          className: "number",
          begin: /\b\d+/
        };
        const IP_ADDRESS = {
          className: "number",
          begin: /\d{1,3}\.\d{1,3}\.\d{1,3}\.\d{1,3}(:\d{1,5})?/
        };
        const PORT_NUMBER = {
          className: "number",
          begin: /:\d{1,5}/
        };
        return {
          name: "Apache config",
          aliases: ["apacheconf"],
          case_insensitive: true,
          contains: [
            hljs.HASH_COMMENT_MODE,
            {
              className: "section",
              begin: /<\/?/,
              end: />/,
              contains: [
                IP_ADDRESS,
                PORT_NUMBER,
                // low relevance prevents us from claming XML/HTML where this rule would
                // match strings inside of XML tags
                hljs.inherit(hljs.QUOTE_STRING_MODE, { relevance: 0 })
              ]
            },
            {
              className: "attribute",
              begin: /\w+/,
              relevance: 0,
              // keywords aren’t needed for highlighting per se, they only boost relevance
              // for a very generally defined mode (starts with a word, ends with line-end
              keywords: { _: [
                "order",
                "deny",
                "allow",
                "setenv",
                "rewriterule",
                "rewriteengine",
                "rewritecond",
                "documentroot",
                "sethandler",
                "errordocument",
                "loadmodule",
                "options",
                "header",
                "listen",
                "serverroot",
                "servername"
              ] },
              starts: {
                end: /$/,
                relevance: 0,
                keywords: { literal: "on off all deny allow" },
                contains: [
                  {
                    scope: "punctuation",
                    match: /\\\n/
                  },
                  {
                    className: "meta",
                    begin: /\s\[/,
                    end: /\]$/
                  },
                  {
                    className: "variable",
                    begin: /[\$%]\{/,
                    end: /\}/,
                    contains: [
                      "self",
                      NUMBER_REF
                    ]
                  },
                  IP_ADDRESS,
                  NUMBER,
                  hljs.QUOTE_STRING_MODE
                ]
              }
            }
          ],
          illegal: /\S/
        };
      }
      module.exports = apache;
    }
  });

  // node_modules/highlight.js/lib/languages/applescript.js
  var require_applescript = __commonJS({
    "node_modules/highlight.js/lib/languages/applescript.js"(exports, module) {
      function applescript(hljs) {
        const regex = hljs.regex;
        const STRING = hljs.inherit(
          hljs.QUOTE_STRING_MODE,
          { illegal: null }
        );
        const PARAMS = {
          className: "params",
          begin: /\(/,
          end: /\)/,
          contains: [
            "self",
            hljs.C_NUMBER_MODE,
            STRING
          ]
        };
        const COMMENT_MODE_1 = hljs.COMMENT(/--/, /$/);
        const COMMENT_MODE_2 = hljs.COMMENT(
          /\(\*/,
          /\*\)/,
          { contains: [
            "self",
            // allow nesting
            COMMENT_MODE_1
          ] }
        );
        const COMMENTS = [
          COMMENT_MODE_1,
          COMMENT_MODE_2,
          hljs.HASH_COMMENT_MODE
        ];
        const KEYWORD_PATTERNS = [
          /apart from/,
          /aside from/,
          /instead of/,
          /out of/,
          /greater than/,
          /isn't|(doesn't|does not) (equal|come before|come after|contain)/,
          /(greater|less) than( or equal)?/,
          /(starts?|ends|begins?) with/,
          /contained by/,
          /comes (before|after)/,
          /a (ref|reference)/,
          /POSIX (file|path)/,
          /(date|time) string/,
          /quoted form/
        ];
        const BUILT_IN_PATTERNS = [
          /clipboard info/,
          /the clipboard/,
          /info for/,
          /list (disks|folder)/,
          /mount volume/,
          /path to/,
          /(close|open for) access/,
          /(get|set) eof/,
          /current date/,
          /do shell script/,
          /get volume settings/,
          /random number/,
          /set volume/,
          /system attribute/,
          /system info/,
          /time to GMT/,
          /(load|run|store) script/,
          /scripting components/,
          /ASCII (character|number)/,
          /localized string/,
          /choose (application|color|file|file name|folder|from list|remote application|URL)/,
          /display (alert|dialog)/
        ];
        return {
          name: "AppleScript",
          aliases: ["osascript"],
          keywords: {
            keyword: "about above after against and around as at back before beginning behind below beneath beside between but by considering contain contains continue copy div does eighth else end equal equals error every exit fifth first for fourth from front get given global if ignoring in into is it its last local me middle mod my ninth not of on onto or over prop property put ref reference repeat returning script second set seventh since sixth some tell tenth that the|0 then third through thru timeout times to transaction try until where while whose with without",
            literal: "AppleScript false linefeed return pi quote result space tab true",
            built_in: "alias application boolean class constant date file integer list number real record string text activate beep count delay launch log offset read round run say summarize write character characters contents day frontmost id item length month name|0 paragraph paragraphs rest reverse running time version weekday word words year"
          },
          contains: [
            STRING,
            hljs.C_NUMBER_MODE,
            {
              className: "built_in",
              begin: regex.concat(
                /\b/,
                regex.either(...BUILT_IN_PATTERNS),
                /\b/
              )
            },
            {
              className: "built_in",
              begin: /^\s*return\b/
            },
            {
              className: "literal",
              begin: /\b(text item delimiters|current application|missing value)\b/
            },
            {
              className: "keyword",
              begin: regex.concat(
                /\b/,
                regex.either(...KEYWORD_PATTERNS),
                /\b/
              )
            },
            {
              beginKeywords: "on",
              illegal: /[${=;\n]/,
              contains: [
                hljs.UNDERSCORE_TITLE_MODE,
                PARAMS
              ]
            },
            ...COMMENTS
          ],
          illegal: /\/\/|->|=>|\[\[/
        };
      }
      module.exports = applescript;
    }
  });

  // node_modules/highlight.js/lib/languages/arcade.js
  var require_arcade = __commonJS({
    "node_modules/highlight.js/lib/languages/arcade.js"(exports, module) {
      function arcade(hljs) {
        const regex = hljs.regex;
        const IDENT_RE = "[A-Za-z_][0-9A-Za-z_]*";
        const KEYWORDS = {
          keyword: [
            "break",
            "case",
            "catch",
            "continue",
            "debugger",
            "do",
            "else",
            "export",
            "for",
            "function",
            "if",
            "import",
            "in",
            "new",
            "of",
            "return",
            "switch",
            "try",
            "var",
            "void",
            "while"
          ],
          literal: [
            "BackSlash",
            "DoubleQuote",
            "ForwardSlash",
            "Infinity",
            "NaN",
            "NewLine",
            "PI",
            "SingleQuote",
            "Tab",
            "TextFormatting",
            "false",
            "null",
            "true",
            "undefined"
          ],
          built_in: [
            "Abs",
            "Acos",
            "All",
            "Angle",
            "Any",
            "Area",
            "AreaGeodetic",
            "Array",
            "Asin",
            "Atan",
            "Atan2",
            "Attachments",
            "Average",
            "Back",
            "Bearing",
            "Boolean",
            "Buffer",
            "BufferGeodetic",
            "Ceil",
            "Centroid",
            "ChangeTimeZone",
            "Clip",
            "Concatenate",
            "Console",
            "Constrain",
            "Contains",
            "ConvertDirection",
            "ConvexHull",
            "Cos",
            "Count",
            "Crosses",
            "Cut",
            "Date|0",
            "DateAdd",
            "DateDiff",
            "DateOnly",
            "Day",
            "Decode",
            "DefaultValue",
            "Densify",
            "DensifyGeodetic",
            "Dictionary",
            "Difference",
            "Disjoint",
            "Distance",
            "DistanceGeodetic",
            "DistanceToCoordinate",
            "Distinct",
            "Domain",
            "DomainCode",
            "DomainName",
            "EnvelopeIntersects",
            "Equals",
            "Erase",
            "Exp",
            "Expects",
            "Extent",
            "Feature",
            "FeatureInFilter",
            "FeatureSet",
            "FeatureSetByAssociation",
            "FeatureSetById",
            "FeatureSetByName",
            "FeatureSetByPortalItem",
            "FeatureSetByRelationshipClass",
            "FeatureSetByRelationshipName",
            "Filter",
            "FilterBySubtypeCode",
            "Find",
            "First|0",
            "Floor",
            "FromCharCode",
            "FromCodePoint",
            "FromJSON",
            "Front",
            "GdbVersion",
            "Generalize",
            "Geometry",
            "GetEnvironment",
            "GetFeatureSet",
            "GetFeatureSetInfo",
            "GetUser",
            "GroupBy",
            "Guid",
            "HasKey",
            "HasValue",
            "Hash",
            "Hour",
            "IIf",
            "ISOMonth",
            "ISOWeek",
            "ISOWeekday",
            "ISOYear",
            "Includes",
            "IndexOf",
            "Insert",
            "Intersection",
            "Intersects",
            "IsEmpty",
            "IsNan",
            "IsSelfIntersecting",
            "IsSimple",
            "KnowledgeGraphByPortalItem",
            "Left|0",
            "Length",
            "Length3D",
            "LengthGeodetic",
            "Log",
            "Lower",
            "Map",
            "Max",
            "Mean",
            "MeasureToCoordinate",
            "Mid",
            "Millisecond",
            "Min",
            "Minute",
            "Month",
            "MultiPartToSinglePart",
            "Multipoint",
            "NearestCoordinate",
            "NearestVertex",
            "NextSequenceValue",
            "None",
            "Now",
            "Number",
            "Offset",
            "OrderBy",
            "Overlaps",
            "Point",
            "PointToCoordinate",
            "Polygon",
            "Polyline",
            "Pop",
            "Portal",
            "Pow",
            "Proper",
            "Push",
            "QueryGraph",
            "Random",
            "Reduce",
            "Relate",
            "Replace",
            "Resize",
            "Reverse",
            "Right|0",
            "RingIsClockwise",
            "Rotate",
            "Round",
            "Schema",
            "Second",
            "SetGeometry",
            "Simplify",
            "Sin",
            "Slice",
            "Sort",
            "Splice",
            "Split",
            "Sqrt",
            "StandardizeFilename",
            "StandardizeGuid",
            "Stdev",
            "SubtypeCode",
            "SubtypeName",
            "Subtypes",
            "Sum",
            "SymmetricDifference",
            "Tan",
            "Text",
            "Time",
            "TimeZone",
            "TimeZoneOffset",
            "Timestamp",
            "ToCharCode",
            "ToCodePoint",
            "ToHex",
            "ToLocal",
            "ToUTC",
            "Today",
            "Top|0",
            "Touches",
            "TrackAccelerationAt",
            "TrackAccelerationWindow",
            "TrackCurrentAcceleration",
            "TrackCurrentDistance",
            "TrackCurrentSpeed",
            "TrackCurrentTime",
            "TrackDistanceAt",
            "TrackDistanceWindow",
            "TrackDuration",
            "TrackFieldWindow",
            "TrackGeometryWindow",
            "TrackIndex",
            "TrackSpeedAt",
            "TrackSpeedWindow",
            "TrackStartTime",
            "TrackWindow",
            "Trim",
            "TypeOf",
            "Union",
            "Upper",
            "UrlEncode",
            "Variance",
            "Week",
            "Weekday",
            "When|0",
            "Within",
            "Year|0"
          ]
        };
        const PROFILE_VARS = [
          "aggregatedFeatures",
          "analytic",
          "config",
          "datapoint",
          "datastore",
          "editcontext",
          "feature",
          "featureSet",
          "feedfeature",
          "fencefeature",
          "fencenotificationtype",
          "graph",
          "join",
          "layer",
          "locationupdate",
          "map",
          "measure",
          "measure",
          "originalFeature",
          "record",
          "reference",
          "rowindex",
          "sourcedatastore",
          "sourcefeature",
          "sourcelayer",
          "target",
          "targetdatastore",
          "targetfeature",
          "targetlayer",
          "userInput",
          "value",
          "variables",
          "view"
        ];
        const SYMBOL = {
          className: "symbol",
          begin: "\\$" + regex.either(...PROFILE_VARS)
        };
        const NUMBER = {
          className: "number",
          variants: [
            { begin: "\\b(0[bB][01]+)" },
            { begin: "\\b(0[oO][0-7]+)" },
            { begin: hljs.C_NUMBER_RE }
          ],
          relevance: 0
        };
        const SUBST = {
          className: "subst",
          begin: "\\$\\{",
          end: "\\}",
          keywords: KEYWORDS,
          contains: []
          // defined later
        };
        const TEMPLATE_STRING = {
          className: "string",
          begin: "`",
          end: "`",
          contains: [
            hljs.BACKSLASH_ESCAPE,
            SUBST
          ]
        };
        SUBST.contains = [
          hljs.APOS_STRING_MODE,
          hljs.QUOTE_STRING_MODE,
          TEMPLATE_STRING,
          NUMBER,
          hljs.REGEXP_MODE
        ];
        const PARAMS_CONTAINS = SUBST.contains.concat([
          hljs.C_BLOCK_COMMENT_MODE,
          hljs.C_LINE_COMMENT_MODE
        ]);
        return {
          name: "ArcGIS Arcade",
          case_insensitive: true,
          keywords: KEYWORDS,
          contains: [
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            TEMPLATE_STRING,
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            SYMBOL,
            NUMBER,
            {
              // object attr container
              begin: /[{,]\s*/,
              relevance: 0,
              contains: [
                {
                  begin: IDENT_RE + "\\s*:",
                  returnBegin: true,
                  relevance: 0,
                  contains: [
                    {
                      className: "attr",
                      begin: IDENT_RE,
                      relevance: 0
                    }
                  ]
                }
              ]
            },
            {
              // "value" container
              begin: "(" + hljs.RE_STARTERS_RE + "|\\b(return)\\b)\\s*",
              keywords: "return",
              contains: [
                hljs.C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE,
                hljs.REGEXP_MODE,
                {
                  className: "function",
                  begin: "(\\(.*?\\)|" + IDENT_RE + ")\\s*=>",
                  returnBegin: true,
                  end: "\\s*=>",
                  contains: [
                    {
                      className: "params",
                      variants: [
                        { begin: IDENT_RE },
                        { begin: /\(\s*\)/ },
                        {
                          begin: /\(/,
                          end: /\)/,
                          excludeBegin: true,
                          excludeEnd: true,
                          keywords: KEYWORDS,
                          contains: PARAMS_CONTAINS
                        }
                      ]
                    }
                  ]
                }
              ],
              relevance: 0
            },
            {
              beginKeywords: "function",
              end: /\{/,
              excludeEnd: true,
              contains: [
                hljs.inherit(hljs.TITLE_MODE, {
                  className: "title.function",
                  begin: IDENT_RE
                }),
                {
                  className: "params",
                  begin: /\(/,
                  end: /\)/,
                  excludeBegin: true,
                  excludeEnd: true,
                  contains: PARAMS_CONTAINS
                }
              ],
              illegal: /\[|%/
            },
            { begin: /\$[(.]/ }
          ],
          illegal: /#(?!!)/
        };
      }
      module.exports = arcade;
    }
  });

  // node_modules/highlight.js/lib/languages/arduino.js
  var require_arduino = __commonJS({
    "node_modules/highlight.js/lib/languages/arduino.js"(exports, module) {
      function cPlusPlus(hljs) {
        const regex = hljs.regex;
        const C_LINE_COMMENT_MODE = hljs.COMMENT("//", "$", { contains: [{ begin: /\\\n/ }] });
        const DECLTYPE_AUTO_RE = "decltype\\(auto\\)";
        const NAMESPACE_RE = "[a-zA-Z_]\\w*::";
        const TEMPLATE_ARGUMENT_RE = "<[^<>]+>";
        const FUNCTION_TYPE_RE = "(?!struct)(" + DECLTYPE_AUTO_RE + "|" + regex.optional(NAMESPACE_RE) + "[a-zA-Z_]\\w*" + regex.optional(TEMPLATE_ARGUMENT_RE) + ")";
        const CPP_PRIMITIVE_TYPES = {
          className: "type",
          begin: "\\b[a-z\\d_]*_t\\b"
        };
        const CHARACTER_ESCAPES = "\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\S)";
        const STRINGS = {
          className: "string",
          variants: [
            {
              begin: '(u8?|U|L)?"',
              end: '"',
              illegal: "\\n",
              contains: [hljs.BACKSLASH_ESCAPE]
            },
            {
              begin: "(u8?|U|L)?'(" + CHARACTER_ESCAPES + "|.)",
              end: "'",
              illegal: "."
            },
            hljs.END_SAME_AS_BEGIN({
              begin: /(?:u8?|U|L)?R"([^()\\ ]{0,16})\(/,
              end: /\)([^()\\ ]{0,16})"/
            })
          ]
        };
        const NUMBERS = {
          className: "number",
          variants: [
            // Floating-point literal.
            {
              begin: "[+-]?(?:(?:[0-9](?:'?[0-9])*\\.(?:[0-9](?:'?[0-9])*)?|\\.[0-9](?:'?[0-9])*)(?:[Ee][+-]?[0-9](?:'?[0-9])*)?|[0-9](?:'?[0-9])*[Ee][+-]?[0-9](?:'?[0-9])*|0[Xx](?:[0-9A-Fa-f](?:'?[0-9A-Fa-f])*(?:\\.(?:[0-9A-Fa-f](?:'?[0-9A-Fa-f])*)?)?|\\.[0-9A-Fa-f](?:'?[0-9A-Fa-f])*)[Pp][+-]?[0-9](?:'?[0-9])*)(?:[Ff](?:16|32|64|128)?|(BF|bf)16|[Ll]|)"
            },
            // Integer literal.
            {
              begin: "[+-]?\\b(?:0[Bb][01](?:'?[01])*|0[Xx][0-9A-Fa-f](?:'?[0-9A-Fa-f])*|0(?:'?[0-7])*|[1-9](?:'?[0-9])*)(?:[Uu](?:LL?|ll?)|[Uu][Zz]?|(?:LL?|ll?)[Uu]?|[Zz][Uu]|)"
              // Note: there are user-defined literal suffixes too, but perhaps having the custom suffix not part of the
              // literal highlight actually makes it stand out more.
            }
          ],
          relevance: 0
        };
        const PREPROCESSOR = {
          className: "meta",
          begin: /#\s*[a-z]+\b/,
          end: /$/,
          keywords: { keyword: "if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include" },
          contains: [
            {
              begin: /\\\n/,
              relevance: 0
            },
            hljs.inherit(STRINGS, { className: "string" }),
            {
              className: "string",
              begin: /<.*?>/
            },
            C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE
          ]
        };
        const TITLE_MODE = {
          className: "title",
          begin: regex.optional(NAMESPACE_RE) + hljs.IDENT_RE,
          relevance: 0
        };
        const FUNCTION_TITLE = regex.optional(NAMESPACE_RE) + hljs.IDENT_RE + "\\s*\\(";
        const RESERVED_KEYWORDS = [
          "alignas",
          "alignof",
          "and",
          "and_eq",
          "asm",
          "atomic_cancel",
          "atomic_commit",
          "atomic_noexcept",
          "auto",
          "bitand",
          "bitor",
          "break",
          "case",
          "catch",
          "class",
          "co_await",
          "co_return",
          "co_yield",
          "compl",
          "concept",
          "const_cast|10",
          "consteval",
          "constexpr",
          "constinit",
          "continue",
          "decltype",
          "default",
          "delete",
          "do",
          "dynamic_cast|10",
          "else",
          "enum",
          "explicit",
          "export",
          "extern",
          "false",
          "final",
          "for",
          "friend",
          "goto",
          "if",
          "import",
          "inline",
          "module",
          "mutable",
          "namespace",
          "new",
          "noexcept",
          "not",
          "not_eq",
          "nullptr",
          "operator",
          "or",
          "or_eq",
          "override",
          "private",
          "protected",
          "public",
          "reflexpr",
          "register",
          "reinterpret_cast|10",
          "requires",
          "return",
          "sizeof",
          "static_assert",
          "static_cast|10",
          "struct",
          "switch",
          "synchronized",
          "template",
          "this",
          "thread_local",
          "throw",
          "transaction_safe",
          "transaction_safe_dynamic",
          "true",
          "try",
          "typedef",
          "typeid",
          "typename",
          "union",
          "using",
          "virtual",
          "volatile",
          "while",
          "xor",
          "xor_eq"
        ];
        const RESERVED_TYPES = [
          "bool",
          "char",
          "char16_t",
          "char32_t",
          "char8_t",
          "double",
          "float",
          "int",
          "long",
          "short",
          "void",
          "wchar_t",
          "unsigned",
          "signed",
          "const",
          "static"
        ];
        const TYPE_HINTS = [
          "any",
          "auto_ptr",
          "barrier",
          "binary_semaphore",
          "bitset",
          "complex",
          "condition_variable",
          "condition_variable_any",
          "counting_semaphore",
          "deque",
          "false_type",
          "flat_map",
          "flat_set",
          "future",
          "imaginary",
          "initializer_list",
          "istringstream",
          "jthread",
          "latch",
          "lock_guard",
          "multimap",
          "multiset",
          "mutex",
          "optional",
          "ostringstream",
          "packaged_task",
          "pair",
          "promise",
          "priority_queue",
          "queue",
          "recursive_mutex",
          "recursive_timed_mutex",
          "scoped_lock",
          "set",
          "shared_future",
          "shared_lock",
          "shared_mutex",
          "shared_timed_mutex",
          "shared_ptr",
          "stack",
          "string_view",
          "stringstream",
          "timed_mutex",
          "thread",
          "true_type",
          "tuple",
          "unique_lock",
          "unique_ptr",
          "unordered_map",
          "unordered_multimap",
          "unordered_multiset",
          "unordered_set",
          "variant",
          "vector",
          "weak_ptr",
          "wstring",
          "wstring_view"
        ];
        const FUNCTION_HINTS = [
          "abort",
          "abs",
          "acos",
          "apply",
          "as_const",
          "asin",
          "atan",
          "atan2",
          "calloc",
          "ceil",
          "cerr",
          "cin",
          "clog",
          "cos",
          "cosh",
          "cout",
          "declval",
          "endl",
          "exchange",
          "exit",
          "exp",
          "fabs",
          "floor",
          "fmod",
          "forward",
          "fprintf",
          "fputs",
          "free",
          "frexp",
          "fscanf",
          "future",
          "invoke",
          "isalnum",
          "isalpha",
          "iscntrl",
          "isdigit",
          "isgraph",
          "islower",
          "isprint",
          "ispunct",
          "isspace",
          "isupper",
          "isxdigit",
          "labs",
          "launder",
          "ldexp",
          "log",
          "log10",
          "make_pair",
          "make_shared",
          "make_shared_for_overwrite",
          "make_tuple",
          "make_unique",
          "malloc",
          "memchr",
          "memcmp",
          "memcpy",
          "memset",
          "modf",
          "move",
          "pow",
          "printf",
          "putchar",
          "puts",
          "realloc",
          "scanf",
          "sin",
          "sinh",
          "snprintf",
          "sprintf",
          "sqrt",
          "sscanf",
          "std",
          "stderr",
          "stdin",
          "stdout",
          "strcat",
          "strchr",
          "strcmp",
          "strcpy",
          "strcspn",
          "strlen",
          "strncat",
          "strncmp",
          "strncpy",
          "strpbrk",
          "strrchr",
          "strspn",
          "strstr",
          "swap",
          "tan",
          "tanh",
          "terminate",
          "to_underlying",
          "tolower",
          "toupper",
          "vfprintf",
          "visit",
          "vprintf",
          "vsprintf"
        ];
        const LITERALS = [
          "NULL",
          "false",
          "nullopt",
          "nullptr",
          "true"
        ];
        const BUILT_IN = ["_Pragma"];
        const CPP_KEYWORDS = {
          type: RESERVED_TYPES,
          keyword: RESERVED_KEYWORDS,
          literal: LITERALS,
          built_in: BUILT_IN,
          _type_hints: TYPE_HINTS
        };
        const FUNCTION_DISPATCH = {
          className: "function.dispatch",
          relevance: 0,
          keywords: {
            // Only for relevance, not highlighting.
            _hint: FUNCTION_HINTS
          },
          begin: regex.concat(
            /\b/,
            /(?!decltype)/,
            /(?!if)/,
            /(?!for)/,
            /(?!switch)/,
            /(?!while)/,
            hljs.IDENT_RE,
            regex.lookahead(/(<[^<>]+>|)\s*\(/)
          )
        };
        const EXPRESSION_CONTAINS = [
          FUNCTION_DISPATCH,
          PREPROCESSOR,
          CPP_PRIMITIVE_TYPES,
          C_LINE_COMMENT_MODE,
          hljs.C_BLOCK_COMMENT_MODE,
          NUMBERS,
          STRINGS
        ];
        const EXPRESSION_CONTEXT = {
          // This mode covers expression context where we can't expect a function
          // definition and shouldn't highlight anything that looks like one:
          // `return some()`, `else if()`, `(x*sum(1, 2))`
          variants: [
            {
              begin: /=/,
              end: /;/
            },
            {
              begin: /\(/,
              end: /\)/
            },
            {
              beginKeywords: "new throw return else",
              end: /;/
            }
          ],
          keywords: CPP_KEYWORDS,
          contains: EXPRESSION_CONTAINS.concat([
            {
              begin: /\(/,
              end: /\)/,
              keywords: CPP_KEYWORDS,
              contains: EXPRESSION_CONTAINS.concat(["self"]),
              relevance: 0
            }
          ]),
          relevance: 0
        };
        const FUNCTION_DECLARATION = {
          className: "function",
          begin: "(" + FUNCTION_TYPE_RE + "[\\*&\\s]+)+" + FUNCTION_TITLE,
          returnBegin: true,
          end: /[{;=]/,
          excludeEnd: true,
          keywords: CPP_KEYWORDS,
          illegal: /[^\w\s\*&:<>.]/,
          contains: [
            {
              // to prevent it from being confused as the function title
              begin: DECLTYPE_AUTO_RE,
              keywords: CPP_KEYWORDS,
              relevance: 0
            },
            {
              begin: FUNCTION_TITLE,
              returnBegin: true,
              contains: [TITLE_MODE],
              relevance: 0
            },
            // needed because we do not have look-behind on the below rule
            // to prevent it from grabbing the final : in a :: pair
            {
              begin: /::/,
              relevance: 0
            },
            // initializers
            {
              begin: /:/,
              endsWithParent: true,
              contains: [
                STRINGS,
                NUMBERS
              ]
            },
            // allow for multiple declarations, e.g.:
            // extern void f(int), g(char);
            {
              relevance: 0,
              match: /,/
            },
            {
              className: "params",
              begin: /\(/,
              end: /\)/,
              keywords: CPP_KEYWORDS,
              relevance: 0,
              contains: [
                C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE,
                STRINGS,
                NUMBERS,
                CPP_PRIMITIVE_TYPES,
                // Count matching parentheses.
                {
                  begin: /\(/,
                  end: /\)/,
                  keywords: CPP_KEYWORDS,
                  relevance: 0,
                  contains: [
                    "self",
                    C_LINE_COMMENT_MODE,
                    hljs.C_BLOCK_COMMENT_MODE,
                    STRINGS,
                    NUMBERS,
                    CPP_PRIMITIVE_TYPES
                  ]
                }
              ]
            },
            CPP_PRIMITIVE_TYPES,
            C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            PREPROCESSOR
          ]
        };
        return {
          name: "C++",
          aliases: [
            "cc",
            "c++",
            "h++",
            "hpp",
            "hh",
            "hxx",
            "cxx"
          ],
          keywords: CPP_KEYWORDS,
          illegal: "</",
          classNameAliases: { "function.dispatch": "built_in" },
          contains: [].concat(
            EXPRESSION_CONTEXT,
            FUNCTION_DECLARATION,
            FUNCTION_DISPATCH,
            EXPRESSION_CONTAINS,
            [
              PREPROCESSOR,
              {
                // containers: ie, `vector <int> rooms (9);`
                begin: "\\b(deque|list|queue|priority_queue|pair|stack|vector|map|set|bitset|multiset|multimap|unordered_map|unordered_set|unordered_multiset|unordered_multimap|array|tuple|optional|variant|function|flat_map|flat_set)\\s*<(?!<)",
                end: ">",
                keywords: CPP_KEYWORDS,
                contains: [
                  "self",
                  CPP_PRIMITIVE_TYPES
                ]
              },
              {
                begin: hljs.IDENT_RE + "::",
                keywords: CPP_KEYWORDS
              },
              {
                match: [
                  // extra complexity to deal with `enum class` and `enum struct`
                  /\b(?:enum(?:\s+(?:class|struct))?|class|struct|union)/,
                  /\s+/,
                  /\w+/
                ],
                className: {
                  1: "keyword",
                  3: "title.class"
                }
              }
            ]
          )
        };
      }
      function arduino(hljs) {
        const ARDUINO_KW = {
          type: [
            "boolean",
            "byte",
            "word",
            "String"
          ],
          built_in: [
            "KeyboardController",
            "MouseController",
            "SoftwareSerial",
            "EthernetServer",
            "EthernetClient",
            "LiquidCrystal",
            "RobotControl",
            "GSMVoiceCall",
            "EthernetUDP",
            "EsploraTFT",
            "HttpClient",
            "RobotMotor",
            "WiFiClient",
            "GSMScanner",
            "FileSystem",
            "Scheduler",
            "GSMServer",
            "YunClient",
            "YunServer",
            "IPAddress",
            "GSMClient",
            "GSMModem",
            "Keyboard",
            "Ethernet",
            "Console",
            "GSMBand",
            "Esplora",
            "Stepper",
            "Process",
            "WiFiUDP",
            "GSM_SMS",
            "Mailbox",
            "USBHost",
            "Firmata",
            "PImage",
            "Client",
            "Server",
            "GSMPIN",
            "FileIO",
            "Bridge",
            "Serial",
            "EEPROM",
            "Stream",
            "Mouse",
            "Audio",
            "Servo",
            "File",
            "Task",
            "GPRS",
            "WiFi",
            "Wire",
            "TFT",
            "GSM",
            "SPI",
            "SD"
          ],
          _hints: [
            "setup",
            "loop",
            "runShellCommandAsynchronously",
            "analogWriteResolution",
            "retrieveCallingNumber",
            "printFirmwareVersion",
            "analogReadResolution",
            "sendDigitalPortPair",
            "noListenOnLocalhost",
            "readJoystickButton",
            "setFirmwareVersion",
            "readJoystickSwitch",
            "scrollDisplayRight",
            "getVoiceCallStatus",
            "scrollDisplayLeft",
            "writeMicroseconds",
            "delayMicroseconds",
            "beginTransmission",
            "getSignalStrength",
            "runAsynchronously",
            "getAsynchronously",
            "listenOnLocalhost",
            "getCurrentCarrier",
            "readAccelerometer",
            "messageAvailable",
            "sendDigitalPorts",
            "lineFollowConfig",
            "countryNameWrite",
            "runShellCommand",
            "readStringUntil",
            "rewindDirectory",
            "readTemperature",
            "setClockDivider",
            "readLightSensor",
            "endTransmission",
            "analogReference",
            "detachInterrupt",
            "countryNameRead",
            "attachInterrupt",
            "encryptionType",
            "readBytesUntil",
            "robotNameWrite",
            "readMicrophone",
            "robotNameRead",
            "cityNameWrite",
            "userNameWrite",
            "readJoystickY",
            "readJoystickX",
            "mouseReleased",
            "openNextFile",
            "scanNetworks",
            "noInterrupts",
            "digitalWrite",
            "beginSpeaker",
            "mousePressed",
            "isActionDone",
            "mouseDragged",
            "displayLogos",
            "noAutoscroll",
            "addParameter",
            "remoteNumber",
            "getModifiers",
            "keyboardRead",
            "userNameRead",
            "waitContinue",
            "processInput",
            "parseCommand",
            "printVersion",
            "readNetworks",
            "writeMessage",
            "blinkVersion",
            "cityNameRead",
            "readMessage",
            "setDataMode",
            "parsePacket",
            "isListening",
            "setBitOrder",
            "beginPacket",
            "isDirectory",
            "motorsWrite",
            "drawCompass",
            "digitalRead",
            "clearScreen",
            "serialEvent",
            "rightToLeft",
            "setTextSize",
            "leftToRight",
            "requestFrom",
            "keyReleased",
            "compassRead",
            "analogWrite",
            "interrupts",
            "WiFiServer",
            "disconnect",
            "playMelody",
            "parseFloat",
            "autoscroll",
            "getPINUsed",
            "setPINUsed",
            "setTimeout",
            "sendAnalog",
            "readSlider",
            "analogRead",
            "beginWrite",
            "createChar",
            "motorsStop",
            "keyPressed",
            "tempoWrite",
            "readButton",
            "subnetMask",
            "debugPrint",
            "macAddress",
            "writeGreen",
            "randomSeed",
            "attachGPRS",
            "readString",
            "sendString",
            "remotePort",
            "releaseAll",
            "mouseMoved",
            "background",
            "getXChange",
            "getYChange",
            "answerCall",
            "getResult",
            "voiceCall",
            "endPacket",
            "constrain",
            "getSocket",
            "writeJSON",
            "getButton",
            "available",
            "connected",
            "findUntil",
            "readBytes",
            "exitValue",
            "readGreen",
            "writeBlue",
            "startLoop",
            "IPAddress",
            "isPressed",
            "sendSysex",
            "pauseMode",
            "gatewayIP",
            "setCursor",
            "getOemKey",
            "tuneWrite",
            "noDisplay",
            "loadImage",
            "switchPIN",
            "onRequest",
            "onReceive",
            "changePIN",
            "playFile",
            "noBuffer",
            "parseInt",
            "overflow",
            "checkPIN",
            "knobRead",
            "beginTFT",
            "bitClear",
            "updateIR",
            "bitWrite",
            "position",
            "writeRGB",
            "highByte",
            "writeRed",
            "setSpeed",
            "readBlue",
            "noStroke",
            "remoteIP",
            "transfer",
            "shutdown",
            "hangCall",
            "beginSMS",
            "endWrite",
            "attached",
            "maintain",
            "noCursor",
            "checkReg",
            "checkPUK",
            "shiftOut",
            "isValid",
            "shiftIn",
            "pulseIn",
            "connect",
            "println",
            "localIP",
            "pinMode",
            "getIMEI",
            "display",
            "noBlink",
            "process",
            "getBand",
            "running",
            "beginSD",
            "drawBMP",
            "lowByte",
            "setBand",
            "release",
            "bitRead",
            "prepare",
            "pointTo",
            "readRed",
            "setMode",
            "noFill",
            "remove",
            "listen",
            "stroke",
            "detach",
            "attach",
            "noTone",
            "exists",
            "buffer",
            "height",
            "bitSet",
            "circle",
            "config",
            "cursor",
            "random",
            "IRread",
            "setDNS",
            "endSMS",
            "getKey",
            "micros",
            "millis",
            "begin",
            "print",
            "write",
            "ready",
            "flush",
            "width",
            "isPIN",
            "blink",
            "clear",
            "press",
            "mkdir",
            "rmdir",
            "close",
            "point",
            "yield",
            "image",
            "BSSID",
            "click",
            "delay",
            "read",
            "text",
            "move",
            "peek",
            "beep",
            "rect",
            "line",
            "open",
            "seek",
            "fill",
            "size",
            "turn",
            "stop",
            "home",
            "find",
            "step",
            "tone",
            "sqrt",
            "RSSI",
            "SSID",
            "end",
            "bit",
            "tan",
            "cos",
            "sin",
            "pow",
            "map",
            "abs",
            "max",
            "min",
            "get",
            "run",
            "put"
          ],
          literal: [
            "DIGITAL_MESSAGE",
            "FIRMATA_STRING",
            "ANALOG_MESSAGE",
            "REPORT_DIGITAL",
            "REPORT_ANALOG",
            "INPUT_PULLUP",
            "SET_PIN_MODE",
            "INTERNAL2V56",
            "SYSTEM_RESET",
            "LED_BUILTIN",
            "INTERNAL1V1",
            "SYSEX_START",
            "INTERNAL",
            "EXTERNAL",
            "DEFAULT",
            "OUTPUT",
            "INPUT",
            "HIGH",
            "LOW"
          ]
        };
        const ARDUINO = cPlusPlus(hljs);
        const kws = (
          /** @type {Record<string,any>} */
          ARDUINO.keywords
        );
        kws.type = [
          ...kws.type,
          ...ARDUINO_KW.type
        ];
        kws.literal = [
          ...kws.literal,
          ...ARDUINO_KW.literal
        ];
        kws.built_in = [
          ...kws.built_in,
          ...ARDUINO_KW.built_in
        ];
        kws._hints = ARDUINO_KW._hints;
        ARDUINO.name = "Arduino";
        ARDUINO.aliases = ["ino"];
        ARDUINO.supersetOf = "cpp";
        return ARDUINO;
      }
      module.exports = arduino;
    }
  });

  // node_modules/highlight.js/lib/languages/armasm.js
  var require_armasm = __commonJS({
    "node_modules/highlight.js/lib/languages/armasm.js"(exports, module) {
      function armasm(hljs) {
        const COMMENT = { variants: [
          hljs.COMMENT("^[ \\t]*(?=#)", "$", {
            relevance: 0,
            excludeBegin: true
          }),
          hljs.COMMENT("[;@]", "$", { relevance: 0 }),
          hljs.C_LINE_COMMENT_MODE,
          hljs.C_BLOCK_COMMENT_MODE
        ] };
        return {
          name: "ARM Assembly",
          case_insensitive: true,
          aliases: ["arm"],
          keywords: {
            $pattern: "\\.?" + hljs.IDENT_RE,
            meta: (
              // GNU preprocs
              ".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .arm .thumb .code16 .code32 .force_thumb .thumb_func .ltorg ALIAS ALIGN ARM AREA ASSERT ATTR CN CODE CODE16 CODE32 COMMON CP DATA DCB DCD DCDU DCDO DCFD DCFDU DCI DCQ DCQU DCW DCWU DN ELIF ELSE END ENDFUNC ENDIF ENDP ENTRY EQU EXPORT EXPORTAS EXTERN FIELD FILL FUNCTION GBLA GBLL GBLS GET GLOBAL IF IMPORT INCBIN INCLUDE INFO KEEP LCLA LCLL LCLS LTORG MACRO MAP MEND MEXIT NOFP OPT PRESERVE8 PROC QN READONLY RELOC REQUIRE REQUIRE8 RLIST FN ROUT SETA SETL SETS SN SPACE SUBT THUMB THUMBX TTL WHILE WEND "
            ),
            built_in: "r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 w0 w1 w2 w3 w4 w5 w6 w7 w8 w9 w10 w11 w12 w13 w14 w15 w16 w17 w18 w19 w20 w21 w22 w23 w24 w25 w26 w27 w28 w29 w30 x0 x1 x2 x3 x4 x5 x6 x7 x8 x9 x10 x11 x12 x13 x14 x15 x16 x17 x18 x19 x20 x21 x22 x23 x24 x25 x26 x27 x28 x29 x30 pc lr sp ip sl sb fp a1 a2 a3 a4 v1 v2 v3 v4 v5 v6 v7 v8 f0 f1 f2 f3 f4 f5 f6 f7 p0 p1 p2 p3 p4 p5 p6 p7 p8 p9 p10 p11 p12 p13 p14 p15 c0 c1 c2 c3 c4 c5 c6 c7 c8 c9 c10 c11 c12 c13 c14 c15 q0 q1 q2 q3 q4 q5 q6 q7 q8 q9 q10 q11 q12 q13 q14 q15 cpsr_c cpsr_x cpsr_s cpsr_f cpsr_cx cpsr_cxs cpsr_xs cpsr_xsf cpsr_sf cpsr_cxsf spsr_c spsr_x spsr_s spsr_f spsr_cx spsr_cxs spsr_xs spsr_xsf spsr_sf spsr_cxsf s0 s1 s2 s3 s4 s5 s6 s7 s8 s9 s10 s11 s12 s13 s14 s15 s16 s17 s18 s19 s20 s21 s22 s23 s24 s25 s26 s27 s28 s29 s30 s31 d0 d1 d2 d3 d4 d5 d6 d7 d8 d9 d10 d11 d12 d13 d14 d15 d16 d17 d18 d19 d20 d21 d22 d23 d24 d25 d26 d27 d28 d29 d30 d31 {PC} {VAR} {TRUE} {FALSE} {OPT} {CONFIG} {ENDIAN} {CODESIZE} {CPU} {FPU} {ARCHITECTURE} {PCSTOREOFFSET} {ARMASM_VERSION} {INTER} {ROPI} {RWPI} {SWST} {NOSWST} . @"
          },
          contains: [
            {
              className: "keyword",
              begin: "\\b(adc|(qd?|sh?|u[qh]?)?add(8|16)?|usada?8|(q|sh?|u[qh]?)?(as|sa)x|and|adrl?|sbc|rs[bc]|asr|b[lx]?|blx|bxj|cbn?z|tb[bh]|bic|bfc|bfi|[su]bfx|bkpt|cdp2?|clz|clrex|cmp|cmn|cpsi[ed]|cps|setend|dbg|dmb|dsb|eor|isb|it[te]{0,3}|lsl|lsr|ror|rrx|ldm(([id][ab])|f[ds])?|ldr((s|ex)?[bhd])?|movt?|mvn|mra|mar|mul|[us]mull|smul[bwt][bt]|smu[as]d|smmul|smmla|mla|umlaal|smlal?([wbt][bt]|d)|mls|smlsl?[ds]|smc|svc|sev|mia([bt]{2}|ph)?|mrr?c2?|mcrr2?|mrs|msr|orr|orn|pkh(tb|bt)|rbit|rev(16|sh)?|sel|[su]sat(16)?|nop|pop|push|rfe([id][ab])?|stm([id][ab])?|str(ex)?[bhd]?|(qd?)?sub|(sh?|q|u[qh]?)?sub(8|16)|[su]xt(a?h|a?b(16)?)|srs([id][ab])?|swpb?|swi|smi|tst|teq|wfe|wfi|yield)(eq|ne|cs|cc|mi|pl|vs|vc|hi|ls|ge|lt|gt|le|al|hs|lo)?[sptrx]?(?=\\s)"
              // followed by space
            },
            COMMENT,
            hljs.QUOTE_STRING_MODE,
            {
              className: "string",
              begin: "'",
              end: "[^\\\\]'",
              relevance: 0
            },
            {
              className: "title",
              begin: "\\|",
              end: "\\|",
              illegal: "\\n",
              relevance: 0
            },
            {
              className: "number",
              variants: [
                {
                  // hex
                  begin: "[#$=]?0x[0-9a-f]+"
                },
                {
                  // bin
                  begin: "[#$=]?0b[01]+"
                },
                {
                  // literal
                  begin: "[#$=]\\d+"
                },
                {
                  // bare number
                  begin: "\\b\\d+"
                }
              ],
              relevance: 0
            },
            {
              className: "symbol",
              variants: [
                {
                  // GNU ARM syntax
                  begin: "^[ \\t]*[a-z_\\.\\$][a-z0-9_\\.\\$]+:"
                },
                {
                  // ARM syntax
                  begin: "^[a-z_\\.\\$][a-z0-9_\\.\\$]+"
                },
                {
                  // label reference
                  begin: "[=#]\\w+"
                }
              ],
              relevance: 0
            }
          ]
        };
      }
      module.exports = armasm;
    }
  });

  // node_modules/highlight.js/lib/languages/xml.js
  var require_xml = __commonJS({
    "node_modules/highlight.js/lib/languages/xml.js"(exports, module) {
      function xml2(hljs) {
        const regex = hljs.regex;
        const TAG_NAME_RE = regex.concat(/[\p{L}_]/u, regex.optional(/[\p{L}0-9_.-]*:/u), /[\p{L}0-9_.-]*/u);
        const XML_IDENT_RE = /[\p{L}0-9._:-]+/u;
        const XML_ENTITIES = {
          className: "symbol",
          begin: /&[a-z]+;|&#[0-9]+;|&#x[a-f0-9]+;/
        };
        const XML_META_KEYWORDS = {
          begin: /\s/,
          contains: [
            {
              className: "keyword",
              begin: /#?[a-z_][a-z1-9_-]+/,
              illegal: /\n/
            }
          ]
        };
        const XML_META_PAR_KEYWORDS = hljs.inherit(XML_META_KEYWORDS, {
          begin: /\(/,
          end: /\)/
        });
        const APOS_META_STRING_MODE = hljs.inherit(hljs.APOS_STRING_MODE, { className: "string" });
        const QUOTE_META_STRING_MODE = hljs.inherit(hljs.QUOTE_STRING_MODE, { className: "string" });
        const TAG_INTERNALS = {
          endsWithParent: true,
          illegal: /</,
          relevance: 0,
          contains: [
            {
              className: "attr",
              begin: XML_IDENT_RE,
              relevance: 0
            },
            {
              begin: /=\s*/,
              relevance: 0,
              contains: [
                {
                  className: "string",
                  endsParent: true,
                  variants: [
                    {
                      begin: /"/,
                      end: /"/,
                      contains: [XML_ENTITIES]
                    },
                    {
                      begin: /'/,
                      end: /'/,
                      contains: [XML_ENTITIES]
                    },
                    { begin: /[^\s"'=<>`]+/ }
                  ]
                }
              ]
            }
          ]
        };
        return {
          name: "HTML, XML",
          aliases: [
            "html",
            "xhtml",
            "rss",
            "atom",
            "xjb",
            "xsd",
            "xsl",
            "plist",
            "wsf",
            "svg"
          ],
          case_insensitive: true,
          unicodeRegex: true,
          contains: [
            {
              className: "meta",
              begin: /<![a-z]/,
              end: />/,
              relevance: 10,
              contains: [
                XML_META_KEYWORDS,
                QUOTE_META_STRING_MODE,
                APOS_META_STRING_MODE,
                XML_META_PAR_KEYWORDS,
                {
                  begin: /\[/,
                  end: /\]/,
                  contains: [
                    {
                      className: "meta",
                      begin: /<![a-z]/,
                      end: />/,
                      contains: [
                        XML_META_KEYWORDS,
                        XML_META_PAR_KEYWORDS,
                        QUOTE_META_STRING_MODE,
                        APOS_META_STRING_MODE
                      ]
                    }
                  ]
                }
              ]
            },
            hljs.COMMENT(
              /<!--/,
              /-->/,
              { relevance: 10 }
            ),
            {
              begin: /<!\[CDATA\[/,
              end: /\]\]>/,
              relevance: 10
            },
            XML_ENTITIES,
            // xml processing instructions
            {
              className: "meta",
              end: /\?>/,
              variants: [
                {
                  begin: /<\?xml/,
                  relevance: 10,
                  contains: [
                    QUOTE_META_STRING_MODE
                  ]
                },
                {
                  begin: /<\?[a-z][a-z0-9]+/
                }
              ]
            },
            {
              className: "tag",
              /*
              The lookahead pattern (?=...) ensures that 'begin' only matches
              '<style' as a single word, followed by a whitespace or an
              ending bracket.
              */
              begin: /<style(?=\s|>)/,
              end: />/,
              keywords: { name: "style" },
              contains: [TAG_INTERNALS],
              starts: {
                end: /<\/style>/,
                returnEnd: true,
                subLanguage: [
                  "css",
                  "xml"
                ]
              }
            },
            {
              className: "tag",
              // See the comment in the <style tag about the lookahead pattern
              begin: /<script(?=\s|>)/,
              end: />/,
              keywords: { name: "script" },
              contains: [TAG_INTERNALS],
              starts: {
                end: /<\/script>/,
                returnEnd: true,
                subLanguage: [
                  "javascript",
                  "handlebars",
                  "xml"
                ]
              }
            },
            // we need this for now for jSX
            {
              className: "tag",
              begin: /<>|<\/>/
            },
            // open tag
            {
              className: "tag",
              begin: regex.concat(
                /</,
                regex.lookahead(regex.concat(
                  TAG_NAME_RE,
                  // <tag/>
                  // <tag>
                  // <tag ...
                  regex.either(/\/>/, />/, /\s/)
                ))
              ),
              end: /\/?>/,
              contains: [
                {
                  className: "name",
                  begin: TAG_NAME_RE,
                  relevance: 0,
                  starts: TAG_INTERNALS
                }
              ]
            },
            // close tag
            {
              className: "tag",
              begin: regex.concat(
                /<\//,
                regex.lookahead(regex.concat(
                  TAG_NAME_RE,
                  />/
                ))
              ),
              contains: [
                {
                  className: "name",
                  begin: TAG_NAME_RE,
                  relevance: 0
                },
                {
                  begin: />/,
                  relevance: 0,
                  endsParent: true
                }
              ]
            }
          ]
        };
      }
      module.exports = xml2;
    }
  });

  // node_modules/highlight.js/lib/languages/asciidoc.js
  var require_asciidoc = __commonJS({
    "node_modules/highlight.js/lib/languages/asciidoc.js"(exports, module) {
      function asciidoc(hljs) {
        const regex = hljs.regex;
        const HORIZONTAL_RULE = {
          begin: "^'{3,}[ \\t]*$",
          relevance: 10
        };
        const ESCAPED_FORMATTING = [
          // escaped constrained formatting marks (i.e., \* \_ or \`)
          { begin: /\\[*_`]/ },
          // escaped unconstrained formatting marks (i.e., \\** \\__ or \\``)
          // must ignore until the next formatting marks
          // this rule might not be 100% compliant with Asciidoctor 2.0 but we are entering undefined behavior territory...
          { begin: /\\\\\*{2}[^\n]*?\*{2}/ },
          { begin: /\\\\_{2}[^\n]*_{2}/ },
          { begin: /\\\\`{2}[^\n]*`{2}/ },
          // guard: constrained formatting mark may not be preceded by ":", ";" or
          // "}". match these so the constrained rule doesn't see them
          { begin: /[:;}][*_`](?![*_`])/ }
        ];
        const STRONG = [
          // inline unconstrained strong (single line)
          {
            className: "strong",
            begin: /\*{2}([^\n]+?)\*{2}/
          },
          // inline unconstrained strong (multi-line)
          {
            className: "strong",
            begin: regex.concat(
              /\*\*/,
              /((\*(?!\*)|\\[^\n]|[^*\n\\])+\n)+/,
              /(\*(?!\*)|\\[^\n]|[^*\n\\])*/,
              /\*\*/
            ),
            relevance: 0
          },
          // inline constrained strong (single line)
          {
            className: "strong",
            // must not precede or follow a word character
            begin: /\B\*(\S|\S[^\n]*?\S)\*(?!\w)/
          },
          // inline constrained strong (multi-line)
          {
            className: "strong",
            // must not precede or follow a word character
            begin: /\*[^\s]([^\n]+\n)+([^\n]+)\*/
          }
        ];
        const EMPHASIS = [
          // inline unconstrained emphasis (single line)
          {
            className: "emphasis",
            begin: /_{2}([^\n]+?)_{2}/
          },
          // inline unconstrained emphasis (multi-line)
          {
            className: "emphasis",
            begin: regex.concat(
              /__/,
              /((_(?!_)|\\[^\n]|[^_\n\\])+\n)+/,
              /(_(?!_)|\\[^\n]|[^_\n\\])*/,
              /__/
            ),
            relevance: 0
          },
          // inline constrained emphasis (single line)
          {
            className: "emphasis",
            // must not precede or follow a word character
            begin: /\b_(\S|\S[^\n]*?\S)_(?!\w)/
          },
          // inline constrained emphasis (multi-line)
          {
            className: "emphasis",
            // must not precede or follow a word character
            begin: /_[^\s]([^\n]+\n)+([^\n]+)_/
          },
          // inline constrained emphasis using single quote (legacy)
          {
            className: "emphasis",
            // must not follow a word character or be followed by a single quote or space
            begin: "\\B'(?!['\\s])",
            end: "(\\n{2}|')",
            // allow escaped single quote followed by word char
            contains: [
              {
                begin: "\\\\'\\w",
                relevance: 0
              }
            ],
            relevance: 0
          }
        ];
        const ADMONITION = {
          className: "symbol",
          begin: "^(NOTE|TIP|IMPORTANT|WARNING|CAUTION):\\s+",
          relevance: 10
        };
        const BULLET_LIST = {
          className: "bullet",
          begin: "^(\\*+|-+|\\.+|[^\\n]+?::)\\s+"
        };
        return {
          name: "AsciiDoc",
          aliases: ["adoc"],
          contains: [
            // block comment
            hljs.COMMENT(
              "^/{4,}\\n",
              "\\n/{4,}$",
              // can also be done as...
              // '^/{4,}$',
              // '^/{4,}$',
              { relevance: 10 }
            ),
            // line comment
            hljs.COMMENT(
              "^//",
              "$",
              { relevance: 0 }
            ),
            // title
            {
              className: "title",
              begin: "^\\.\\w.*$"
            },
            // example, admonition & sidebar blocks
            {
              begin: "^[=\\*]{4,}\\n",
              end: "\\n^[=\\*]{4,}$",
              relevance: 10
            },
            // headings
            {
              className: "section",
              relevance: 10,
              variants: [
                { begin: "^(={1,6})[ 	].+?([ 	]\\1)?$" },
                { begin: "^[^\\[\\]\\n]+?\\n[=\\-~\\^\\+]{2,}$" }
              ]
            },
            // document attributes
            {
              className: "meta",
              begin: "^:.+?:",
              end: "\\s",
              excludeEnd: true,
              relevance: 10
            },
            // block attributes
            {
              className: "meta",
              begin: "^\\[.+?\\]$",
              relevance: 0
            },
            // quoteblocks
            {
              className: "quote",
              begin: "^_{4,}\\n",
              end: "\\n_{4,}$",
              relevance: 10
            },
            // listing and literal blocks
            {
              className: "code",
              begin: "^[\\-\\.]{4,}\\n",
              end: "\\n[\\-\\.]{4,}$",
              relevance: 10
            },
            // passthrough blocks
            {
              begin: "^\\+{4,}\\n",
              end: "\\n\\+{4,}$",
              contains: [
                {
                  begin: "<",
                  end: ">",
                  subLanguage: "xml",
                  relevance: 0
                }
              ],
              relevance: 10
            },
            BULLET_LIST,
            ADMONITION,
            ...ESCAPED_FORMATTING,
            ...STRONG,
            ...EMPHASIS,
            // inline smart quotes
            {
              className: "string",
              variants: [
                { begin: "``.+?''" },
                { begin: "`.+?'" }
              ]
            },
            // inline unconstrained emphasis
            {
              className: "code",
              begin: /`{2}/,
              end: /(\n{2}|`{2})/
            },
            // inline code snippets (TODO should get same treatment as strong and emphasis)
            {
              className: "code",
              begin: "(`.+?`|\\+.+?\\+)",
              relevance: 0
            },
            // indented literal block
            {
              className: "code",
              begin: "^[ \\t]",
              end: "$",
              relevance: 0
            },
            HORIZONTAL_RULE,
            // images and links
            {
              begin: "(link:)?(http|https|ftp|file|irc|image:?):\\S+?\\[[^[]*?\\]",
              returnBegin: true,
              contains: [
                {
                  begin: "(link|image:?):",
                  relevance: 0
                },
                {
                  className: "link",
                  begin: "\\w",
                  end: "[^\\[]+",
                  relevance: 0
                },
                {
                  className: "string",
                  begin: "\\[",
                  end: "\\]",
                  excludeBegin: true,
                  excludeEnd: true,
                  relevance: 0
                }
              ],
              relevance: 10
            }
          ]
        };
      }
      module.exports = asciidoc;
    }
  });

  // node_modules/highlight.js/lib/languages/aspectj.js
  var require_aspectj = __commonJS({
    "node_modules/highlight.js/lib/languages/aspectj.js"(exports, module) {
      function aspectj(hljs) {
        const regex = hljs.regex;
        const KEYWORDS = [
          "false",
          "synchronized",
          "int",
          "abstract",
          "float",
          "private",
          "char",
          "boolean",
          "static",
          "null",
          "if",
          "const",
          "for",
          "true",
          "while",
          "long",
          "throw",
          "strictfp",
          "finally",
          "protected",
          "import",
          "native",
          "final",
          "return",
          "void",
          "enum",
          "else",
          "extends",
          "implements",
          "break",
          "transient",
          "new",
          "catch",
          "instanceof",
          "byte",
          "super",
          "volatile",
          "case",
          "assert",
          "short",
          "package",
          "default",
          "double",
          "public",
          "try",
          "this",
          "switch",
          "continue",
          "throws",
          "privileged",
          "aspectOf",
          "adviceexecution",
          "proceed",
          "cflowbelow",
          "cflow",
          "initialization",
          "preinitialization",
          "staticinitialization",
          "withincode",
          "target",
          "within",
          "execution",
          "getWithinTypeName",
          "handler",
          "thisJoinPoint",
          "thisJoinPointStaticPart",
          "thisEnclosingJoinPointStaticPart",
          "declare",
          "parents",
          "warning",
          "error",
          "soft",
          "precedence",
          "thisAspectInstance"
        ];
        const SHORTKEYS = [
          "get",
          "set",
          "args",
          "call"
        ];
        return {
          name: "AspectJ",
          keywords: KEYWORDS,
          illegal: /<\/|#/,
          contains: [
            hljs.COMMENT(
              /\/\*\*/,
              /\*\//,
              {
                relevance: 0,
                contains: [
                  {
                    // eat up @'s in emails to prevent them to be recognized as doctags
                    begin: /\w+@/,
                    relevance: 0
                  },
                  {
                    className: "doctag",
                    begin: /@[A-Za-z]+/
                  }
                ]
              }
            ),
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            {
              className: "class",
              beginKeywords: "aspect",
              end: /[{;=]/,
              excludeEnd: true,
              illegal: /[:;"\[\]]/,
              contains: [
                { beginKeywords: "extends implements pertypewithin perthis pertarget percflowbelow percflow issingleton" },
                hljs.UNDERSCORE_TITLE_MODE,
                {
                  begin: /\([^\)]*/,
                  end: /[)]+/,
                  keywords: KEYWORDS.concat(SHORTKEYS),
                  excludeEnd: false
                }
              ]
            },
            {
              className: "class",
              beginKeywords: "class interface",
              end: /[{;=]/,
              excludeEnd: true,
              relevance: 0,
              keywords: "class interface",
              illegal: /[:"\[\]]/,
              contains: [
                { beginKeywords: "extends implements" },
                hljs.UNDERSCORE_TITLE_MODE
              ]
            },
            {
              // AspectJ Constructs
              beginKeywords: "pointcut after before around throwing returning",
              end: /[)]/,
              excludeEnd: false,
              illegal: /["\[\]]/,
              contains: [
                {
                  begin: regex.concat(hljs.UNDERSCORE_IDENT_RE, /\s*\(/),
                  returnBegin: true,
                  contains: [hljs.UNDERSCORE_TITLE_MODE]
                }
              ]
            },
            {
              begin: /[:]/,
              returnBegin: true,
              end: /[{;]/,
              relevance: 0,
              excludeEnd: false,
              keywords: KEYWORDS,
              illegal: /["\[\]]/,
              contains: [
                {
                  begin: regex.concat(hljs.UNDERSCORE_IDENT_RE, /\s*\(/),
                  keywords: KEYWORDS.concat(SHORTKEYS),
                  relevance: 0
                },
                hljs.QUOTE_STRING_MODE
              ]
            },
            {
              // this prevents 'new Name(...), or throw ...' from being recognized as a function definition
              beginKeywords: "new throw",
              relevance: 0
            },
            {
              // the function class is a bit different for AspectJ compared to the Java language
              className: "function",
              begin: /\w+ +\w+(\.\w+)?\s*\([^\)]*\)\s*((throws)[\w\s,]+)?[\{;]/,
              returnBegin: true,
              end: /[{;=]/,
              keywords: KEYWORDS,
              excludeEnd: true,
              contains: [
                {
                  begin: regex.concat(hljs.UNDERSCORE_IDENT_RE, /\s*\(/),
                  returnBegin: true,
                  relevance: 0,
                  contains: [hljs.UNDERSCORE_TITLE_MODE]
                },
                {
                  className: "params",
                  begin: /\(/,
                  end: /\)/,
                  relevance: 0,
                  keywords: KEYWORDS,
                  contains: [
                    hljs.APOS_STRING_MODE,
                    hljs.QUOTE_STRING_MODE,
                    hljs.C_NUMBER_MODE,
                    hljs.C_BLOCK_COMMENT_MODE
                  ]
                },
                hljs.C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE
              ]
            },
            hljs.C_NUMBER_MODE,
            {
              // annotation is also used in this language
              className: "meta",
              begin: /@[A-Za-z]+/
            }
          ]
        };
      }
      module.exports = aspectj;
    }
  });

  // node_modules/highlight.js/lib/languages/autohotkey.js
  var require_autohotkey = __commonJS({
    "node_modules/highlight.js/lib/languages/autohotkey.js"(exports, module) {
      function autohotkey(hljs) {
        const BACKTICK_ESCAPE = { begin: "`[\\s\\S]" };
        return {
          name: "AutoHotkey",
          case_insensitive: true,
          aliases: ["ahk"],
          keywords: {
            keyword: "Break Continue Critical Exit ExitApp Gosub Goto New OnExit Pause return SetBatchLines SetTimer Suspend Thread Throw Until ahk_id ahk_class ahk_pid ahk_exe ahk_group",
            literal: "true false NOT AND OR",
            built_in: "ComSpec Clipboard ClipboardAll ErrorLevel"
          },
          contains: [
            BACKTICK_ESCAPE,
            hljs.inherit(hljs.QUOTE_STRING_MODE, { contains: [BACKTICK_ESCAPE] }),
            hljs.COMMENT(";", "$", { relevance: 0 }),
            hljs.C_BLOCK_COMMENT_MODE,
            {
              className: "number",
              begin: hljs.NUMBER_RE,
              relevance: 0
            },
            {
              // subst would be the most accurate however fails the point of
              // highlighting. variable is comparably the most accurate that actually
              // has some effect
              className: "variable",
              begin: "%[a-zA-Z0-9#_$@]+%"
            },
            {
              className: "built_in",
              begin: "^\\s*\\w+\\s*(,|%)"
              // I don't really know if this is totally relevant
            },
            {
              // symbol would be most accurate however is highlighted just like
              // built_in and that makes up a lot of AutoHotkey code meaning that it
              // would fail to highlight anything
              className: "title",
              variants: [
                { begin: '^[^\\n";]+::(?!=)' },
                {
                  begin: '^[^\\n";]+:(?!=)',
                  // zero relevance as it catches a lot of things
                  // followed by a single ':' in many languages
                  relevance: 0
                }
              ]
            },
            {
              className: "meta",
              begin: "^\\s*#\\w+",
              end: "$",
              relevance: 0
            },
            {
              className: "built_in",
              begin: "A_[a-zA-Z0-9]+"
            },
            {
              // consecutive commas, not for highlighting but just for relevance
              begin: ",\\s*,"
            }
          ]
        };
      }
      module.exports = autohotkey;
    }
  });

  // node_modules/highlight.js/lib/languages/autoit.js
  var require_autoit = __commonJS({
    "node_modules/highlight.js/lib/languages/autoit.js"(exports, module) {
      function autoit(hljs) {
        const KEYWORDS = "ByRef Case Const ContinueCase ContinueLoop Dim Do Else ElseIf EndFunc EndIf EndSelect EndSwitch EndWith Enum Exit ExitLoop For Func Global If In Local Next ReDim Return Select Static Step Switch Then To Until Volatile WEnd While With";
        const DIRECTIVES = [
          "EndRegion",
          "forcedef",
          "forceref",
          "ignorefunc",
          "include",
          "include-once",
          "NoTrayIcon",
          "OnAutoItStartRegister",
          "pragma",
          "Region",
          "RequireAdmin",
          "Tidy_Off",
          "Tidy_On",
          "Tidy_Parameters"
        ];
        const LITERAL = "True False And Null Not Or Default";
        const BUILT_IN = "Abs ACos AdlibRegister AdlibUnRegister Asc AscW ASin Assign ATan AutoItSetOption AutoItWinGetTitle AutoItWinSetTitle Beep Binary BinaryLen BinaryMid BinaryToString BitAND BitNOT BitOR BitRotate BitShift BitXOR BlockInput Break Call CDTray Ceiling Chr ChrW ClipGet ClipPut ConsoleRead ConsoleWrite ConsoleWriteError ControlClick ControlCommand ControlDisable ControlEnable ControlFocus ControlGetFocus ControlGetHandle ControlGetPos ControlGetText ControlHide ControlListView ControlMove ControlSend ControlSetText ControlShow ControlTreeView Cos Dec DirCopy DirCreate DirGetSize DirMove DirRemove DllCall DllCallAddress DllCallbackFree DllCallbackGetPtr DllCallbackRegister DllClose DllOpen DllStructCreate DllStructGetData DllStructGetPtr DllStructGetSize DllStructSetData DriveGetDrive DriveGetFileSystem DriveGetLabel DriveGetSerial DriveGetType DriveMapAdd DriveMapDel DriveMapGet DriveSetLabel DriveSpaceFree DriveSpaceTotal DriveStatus EnvGet EnvSet EnvUpdate Eval Execute Exp FileChangeDir FileClose FileCopy FileCreateNTFSLink FileCreateShortcut FileDelete FileExists FileFindFirstFile FileFindNextFile FileFlush FileGetAttrib FileGetEncoding FileGetLongName FileGetPos FileGetShortcut FileGetShortName FileGetSize FileGetTime FileGetVersion FileInstall FileMove FileOpen FileOpenDialog FileRead FileReadLine FileReadToArray FileRecycle FileRecycleEmpty FileSaveDialog FileSelectFolder FileSetAttrib FileSetEnd FileSetPos FileSetTime FileWrite FileWriteLine Floor FtpSetProxy FuncName GUICreate GUICtrlCreateAvi GUICtrlCreateButton GUICtrlCreateCheckbox GUICtrlCreateCombo GUICtrlCreateContextMenu GUICtrlCreateDate GUICtrlCreateDummy GUICtrlCreateEdit GUICtrlCreateGraphic GUICtrlCreateGroup GUICtrlCreateIcon GUICtrlCreateInput GUICtrlCreateLabel GUICtrlCreateList GUICtrlCreateListView GUICtrlCreateListViewItem GUICtrlCreateMenu GUICtrlCreateMenuItem GUICtrlCreateMonthCal GUICtrlCreateObj GUICtrlCreatePic GUICtrlCreateProgress GUICtrlCreateRadio GUICtrlCreateSlider GUICtrlCreateTab GUICtrlCreateTabItem GUICtrlCreateTreeView GUICtrlCreateTreeViewItem GUICtrlCreateUpdown GUICtrlDelete GUICtrlGetHandle GUICtrlGetState GUICtrlRead GUICtrlRecvMsg GUICtrlRegisterListViewSort GUICtrlSendMsg GUICtrlSendToDummy GUICtrlSetBkColor GUICtrlSetColor GUICtrlSetCursor GUICtrlSetData GUICtrlSetDefBkColor GUICtrlSetDefColor GUICtrlSetFont GUICtrlSetGraphic GUICtrlSetImage GUICtrlSetLimit GUICtrlSetOnEvent GUICtrlSetPos GUICtrlSetResizing GUICtrlSetState GUICtrlSetStyle GUICtrlSetTip GUIDelete GUIGetCursorInfo GUIGetMsg GUIGetStyle GUIRegisterMsg GUISetAccelerators GUISetBkColor GUISetCoord GUISetCursor GUISetFont GUISetHelp GUISetIcon GUISetOnEvent GUISetState GUISetStyle GUIStartGroup GUISwitch Hex HotKeySet HttpSetProxy HttpSetUserAgent HWnd InetClose InetGet InetGetInfo InetGetSize InetRead IniDelete IniRead IniReadSection IniReadSectionNames IniRenameSection IniWrite IniWriteSection InputBox Int IsAdmin IsArray IsBinary IsBool IsDeclared IsDllStruct IsFloat IsFunc IsHWnd IsInt IsKeyword IsNumber IsObj IsPtr IsString Log MemGetStats Mod MouseClick MouseClickDrag MouseDown MouseGetCursor MouseGetPos MouseMove MouseUp MouseWheel MsgBox Number ObjCreate ObjCreateInterface ObjEvent ObjGet ObjName OnAutoItExitRegister OnAutoItExitUnRegister Ping PixelChecksum PixelGetColor PixelSearch ProcessClose ProcessExists ProcessGetStats ProcessList ProcessSetPriority ProcessWait ProcessWaitClose ProgressOff ProgressOn ProgressSet Ptr Random RegDelete RegEnumKey RegEnumVal RegRead RegWrite Round Run RunAs RunAsWait RunWait Send SendKeepActive SetError SetExtended ShellExecute ShellExecuteWait Shutdown Sin Sleep SoundPlay SoundSetWaveVolume SplashImageOn SplashOff SplashTextOn Sqrt SRandom StatusbarGetText StderrRead StdinWrite StdioClose StdoutRead String StringAddCR StringCompare StringFormat StringFromASCIIArray StringInStr StringIsAlNum StringIsAlpha StringIsASCII StringIsDigit StringIsFloat StringIsInt StringIsLower StringIsSpace StringIsUpper StringIsXDigit StringLeft StringLen StringLower StringMid StringRegExp StringRegExpReplace StringReplace StringReverse StringRight StringSplit StringStripCR StringStripWS StringToASCIIArray StringToBinary StringTrimLeft StringTrimRight StringUpper Tan TCPAccept TCPCloseSocket TCPConnect TCPListen TCPNameToIP TCPRecv TCPSend TCPShutdown, UDPShutdown TCPStartup, UDPStartup TimerDiff TimerInit ToolTip TrayCreateItem TrayCreateMenu TrayGetMsg TrayItemDelete TrayItemGetHandle TrayItemGetState TrayItemGetText TrayItemSetOnEvent TrayItemSetState TrayItemSetText TraySetClick TraySetIcon TraySetOnEvent TraySetPauseIcon TraySetState TraySetToolTip TrayTip UBound UDPBind UDPCloseSocket UDPOpen UDPRecv UDPSend VarGetType WinActivate WinActive WinClose WinExists WinFlash WinGetCaretPos WinGetClassList WinGetClientSize WinGetHandle WinGetPos WinGetProcess WinGetState WinGetText WinGetTitle WinKill WinList WinMenuSelectItem WinMinimizeAll WinMinimizeAllUndo WinMove WinSetOnTop WinSetState WinSetTitle WinSetTrans WinWait WinWaitActive WinWaitClose WinWaitNotActive";
        const COMMENT = { variants: [
          hljs.COMMENT(";", "$", { relevance: 0 }),
          hljs.COMMENT("#cs", "#ce"),
          hljs.COMMENT("#comments-start", "#comments-end")
        ] };
        const VARIABLE = { begin: "\\$[A-z0-9_]+" };
        const STRING = {
          className: "string",
          variants: [
            {
              begin: /"/,
              end: /"/,
              contains: [
                {
                  begin: /""/,
                  relevance: 0
                }
              ]
            },
            {
              begin: /'/,
              end: /'/,
              contains: [
                {
                  begin: /''/,
                  relevance: 0
                }
              ]
            }
          ]
        };
        const NUMBER = { variants: [
          hljs.BINARY_NUMBER_MODE,
          hljs.C_NUMBER_MODE
        ] };
        const PREPROCESSOR = {
          className: "meta",
          begin: "#",
          end: "$",
          keywords: { keyword: DIRECTIVES },
          contains: [
            {
              begin: /\\\n/,
              relevance: 0
            },
            {
              beginKeywords: "include",
              keywords: { keyword: "include" },
              end: "$",
              contains: [
                STRING,
                {
                  className: "string",
                  variants: [
                    {
                      begin: "<",
                      end: ">"
                    },
                    {
                      begin: /"/,
                      end: /"/,
                      contains: [
                        {
                          begin: /""/,
                          relevance: 0
                        }
                      ]
                    },
                    {
                      begin: /'/,
                      end: /'/,
                      contains: [
                        {
                          begin: /''/,
                          relevance: 0
                        }
                      ]
                    }
                  ]
                }
              ]
            },
            STRING,
            COMMENT
          ]
        };
        const CONSTANT = {
          className: "symbol",
          // begin: '@',
          // end: '$',
          // keywords: 'AppDataCommonDir AppDataDir AutoItExe AutoItPID AutoItVersion AutoItX64 COM_EventObj CommonFilesDir Compiled ComputerName ComSpec CPUArch CR CRLF DesktopCommonDir DesktopDepth DesktopDir DesktopHeight DesktopRefresh DesktopWidth DocumentsCommonDir error exitCode exitMethod extended FavoritesCommonDir FavoritesDir GUI_CtrlHandle GUI_CtrlId GUI_DragFile GUI_DragId GUI_DropId GUI_WinHandle HomeDrive HomePath HomeShare HotKeyPressed HOUR IPAddress1 IPAddress2 IPAddress3 IPAddress4 KBLayout LF LocalAppDataDir LogonDNSDomain LogonDomain LogonServer MDAY MIN MON MSEC MUILang MyDocumentsDir NumParams OSArch OSBuild OSLang OSServicePack OSType OSVersion ProgramFilesDir ProgramsCommonDir ProgramsDir ScriptDir ScriptFullPath ScriptLineNumber ScriptName SEC StartMenuCommonDir StartMenuDir StartupCommonDir StartupDir SW_DISABLE SW_ENABLE SW_HIDE SW_LOCK SW_MAXIMIZE SW_MINIMIZE SW_RESTORE SW_SHOW SW_SHOWDEFAULT SW_SHOWMAXIMIZED SW_SHOWMINIMIZED SW_SHOWMINNOACTIVE SW_SHOWNA SW_SHOWNOACTIVATE SW_SHOWNORMAL SW_UNLOCK SystemDir TAB TempDir TRAY_ID TrayIconFlashing TrayIconVisible UserName UserProfileDir WDAY WindowsDir WorkingDir YDAY YEAR',
          // relevance: 5
          begin: "@[A-z0-9_]+"
        };
        const FUNCTION = {
          beginKeywords: "Func",
          end: "$",
          illegal: "\\$|\\[|%",
          contains: [
            hljs.inherit(hljs.UNDERSCORE_TITLE_MODE, { className: "title.function" }),
            {
              className: "params",
              begin: "\\(",
              end: "\\)",
              contains: [
                VARIABLE,
                STRING,
                NUMBER
              ]
            }
          ]
        };
        return {
          name: "AutoIt",
          case_insensitive: true,
          illegal: /\/\*/,
          keywords: {
            keyword: KEYWORDS,
            built_in: BUILT_IN,
            literal: LITERAL
          },
          contains: [
            COMMENT,
            VARIABLE,
            STRING,
            NUMBER,
            PREPROCESSOR,
            CONSTANT,
            FUNCTION
          ]
        };
      }
      module.exports = autoit;
    }
  });

  // node_modules/highlight.js/lib/languages/avrasm.js
  var require_avrasm = __commonJS({
    "node_modules/highlight.js/lib/languages/avrasm.js"(exports, module) {
      function avrasm(hljs) {
        return {
          name: "AVR Assembly",
          case_insensitive: true,
          keywords: {
            $pattern: "\\.?" + hljs.IDENT_RE,
            keyword: (
              /* mnemonic */
              "adc add adiw and andi asr bclr bld brbc brbs brcc brcs break breq brge brhc brhs brid brie brlo brlt brmi brne brpl brsh brtc brts brvc brvs bset bst call cbi cbr clc clh cli cln clr cls clt clv clz com cp cpc cpi cpse dec eicall eijmp elpm eor fmul fmuls fmulsu icall ijmp in inc jmp ld ldd ldi lds lpm lsl lsr mov movw mul muls mulsu neg nop or ori out pop push rcall ret reti rjmp rol ror sbc sbr sbrc sbrs sec seh sbi sbci sbic sbis sbiw sei sen ser ses set sev sez sleep spm st std sts sub subi swap tst wdr"
            ),
            built_in: (
              /* general purpose registers */
              "r0 r1 r2 r3 r4 r5 r6 r7 r8 r9 r10 r11 r12 r13 r14 r15 r16 r17 r18 r19 r20 r21 r22 r23 r24 r25 r26 r27 r28 r29 r30 r31 x|0 xh xl y|0 yh yl z|0 zh zl ucsr1c udr1 ucsr1a ucsr1b ubrr1l ubrr1h ucsr0c ubrr0h tccr3c tccr3a tccr3b tcnt3h tcnt3l ocr3ah ocr3al ocr3bh ocr3bl ocr3ch ocr3cl icr3h icr3l etimsk etifr tccr1c ocr1ch ocr1cl twcr twdr twar twsr twbr osccal xmcra xmcrb eicra spmcsr spmcr portg ddrg ping portf ddrf sreg sph spl xdiv rampz eicrb eimsk gimsk gicr eifr gifr timsk tifr mcucr mcucsr tccr0 tcnt0 ocr0 assr tccr1a tccr1b tcnt1h tcnt1l ocr1ah ocr1al ocr1bh ocr1bl icr1h icr1l tccr2 tcnt2 ocr2 ocdr wdtcr sfior eearh eearl eedr eecr porta ddra pina portb ddrb pinb portc ddrc pinc portd ddrd pind spdr spsr spcr udr0 ucsr0a ucsr0b ubrr0l acsr admux adcsr adch adcl porte ddre pine pinf"
            ),
            meta: ".byte .cseg .db .def .device .dseg .dw .endmacro .equ .eseg .exit .include .list .listmac .macro .nolist .org .set"
          },
          contains: [
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.COMMENT(
              ";",
              "$",
              { relevance: 0 }
            ),
            hljs.C_NUMBER_MODE,
            // 0x..., decimal, float
            hljs.BINARY_NUMBER_MODE,
            // 0b...
            {
              className: "number",
              begin: "\\b(\\$[a-zA-Z0-9]+|0o[0-7]+)"
              // $..., 0o...
            },
            hljs.QUOTE_STRING_MODE,
            {
              className: "string",
              begin: "'",
              end: "[^\\\\]'",
              illegal: "[^\\\\][^']"
            },
            {
              className: "symbol",
              begin: "^[A-Za-z0-9_.$]+:"
            },
            {
              className: "meta",
              begin: "#",
              end: "$"
            },
            {
              // substitution within a macro
              className: "subst",
              begin: "@[0-9]+"
            }
          ]
        };
      }
      module.exports = avrasm;
    }
  });

  // node_modules/highlight.js/lib/languages/awk.js
  var require_awk = __commonJS({
    "node_modules/highlight.js/lib/languages/awk.js"(exports, module) {
      function awk(hljs) {
        const VARIABLE = {
          className: "variable",
          variants: [
            { begin: /\$[\w\d#@][\w\d_]*/ },
            { begin: /\$\{(.*?)\}/ }
          ]
        };
        const KEYWORDS = "BEGIN END if else while do for in break continue delete next nextfile function func exit|10";
        const STRING = {
          className: "string",
          contains: [hljs.BACKSLASH_ESCAPE],
          variants: [
            {
              begin: /(u|b)?r?'''/,
              end: /'''/,
              relevance: 10
            },
            {
              begin: /(u|b)?r?"""/,
              end: /"""/,
              relevance: 10
            },
            {
              begin: /(u|r|ur)'/,
              end: /'/,
              relevance: 10
            },
            {
              begin: /(u|r|ur)"/,
              end: /"/,
              relevance: 10
            },
            {
              begin: /(b|br)'/,
              end: /'/
            },
            {
              begin: /(b|br)"/,
              end: /"/
            },
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE
          ]
        };
        return {
          name: "Awk",
          keywords: { keyword: KEYWORDS },
          contains: [
            VARIABLE,
            STRING,
            hljs.REGEXP_MODE,
            hljs.HASH_COMMENT_MODE,
            hljs.NUMBER_MODE
          ]
        };
      }
      module.exports = awk;
    }
  });

  // node_modules/highlight.js/lib/languages/axapta.js
  var require_axapta = __commonJS({
    "node_modules/highlight.js/lib/languages/axapta.js"(exports, module) {
      function axapta(hljs) {
        const IDENT_RE = hljs.UNDERSCORE_IDENT_RE;
        const BUILT_IN_KEYWORDS = [
          "anytype",
          "boolean",
          "byte",
          "char",
          "container",
          "date",
          "double",
          "enum",
          "guid",
          "int",
          "int64",
          "long",
          "real",
          "short",
          "str",
          "utcdatetime",
          "var"
        ];
        const LITERAL_KEYWORDS = [
          "default",
          "false",
          "null",
          "true"
        ];
        const NORMAL_KEYWORDS = [
          "abstract",
          "as",
          "asc",
          "avg",
          "break",
          "breakpoint",
          "by",
          "byref",
          "case",
          "catch",
          "changecompany",
          "class",
          "client",
          "client",
          "common",
          "const",
          "continue",
          "count",
          "crosscompany",
          "delegate",
          "delete_from",
          "desc",
          "display",
          "div",
          "do",
          "edit",
          "else",
          "eventhandler",
          "exists",
          "extends",
          "final",
          "finally",
          "firstfast",
          "firstonly",
          "firstonly1",
          "firstonly10",
          "firstonly100",
          "firstonly1000",
          "flush",
          "for",
          "forceliterals",
          "forcenestedloop",
          "forceplaceholders",
          "forceselectorder",
          "forupdate",
          "from",
          "generateonly",
          "group",
          "hint",
          "if",
          "implements",
          "in",
          "index",
          "insert_recordset",
          "interface",
          "internal",
          "is",
          "join",
          "like",
          "maxof",
          "minof",
          "mod",
          "namespace",
          "new",
          "next",
          "nofetch",
          "notexists",
          "optimisticlock",
          "order",
          "outer",
          "pessimisticlock",
          "print",
          "private",
          "protected",
          "public",
          "readonly",
          "repeatableread",
          "retry",
          "return",
          "reverse",
          "select",
          "server",
          "setting",
          "static",
          "sum",
          "super",
          "switch",
          "this",
          "throw",
          "try",
          "ttsabort",
          "ttsbegin",
          "ttscommit",
          "unchecked",
          "update_recordset",
          "using",
          "validtimestate",
          "void",
          "where",
          "while"
        ];
        const KEYWORDS = {
          keyword: NORMAL_KEYWORDS,
          built_in: BUILT_IN_KEYWORDS,
          literal: LITERAL_KEYWORDS
        };
        const CLASS_DEFINITION = {
          variants: [
            { match: [
              /(class|interface)\s+/,
              IDENT_RE,
              /\s+(extends|implements)\s+/,
              IDENT_RE
            ] },
            { match: [
              /class\s+/,
              IDENT_RE
            ] }
          ],
          scope: {
            2: "title.class",
            4: "title.class.inherited"
          },
          keywords: KEYWORDS
        };
        return {
          name: "X++",
          aliases: ["x++"],
          keywords: KEYWORDS,
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.C_NUMBER_MODE,
            {
              className: "meta",
              begin: "#",
              end: "$"
            },
            CLASS_DEFINITION
          ]
        };
      }
      module.exports = axapta;
    }
  });

  // node_modules/highlight.js/lib/languages/bash.js
  var require_bash = __commonJS({
    "node_modules/highlight.js/lib/languages/bash.js"(exports, module) {
      function bash(hljs) {
        const regex = hljs.regex;
        const VAR = {};
        const BRACED_VAR = {
          begin: /\$\{/,
          end: /\}/,
          contains: [
            "self",
            {
              begin: /:-/,
              contains: [VAR]
            }
            // default values
          ]
        };
        Object.assign(VAR, {
          className: "variable",
          variants: [
            { begin: regex.concat(
              /\$[\w\d#@][\w\d_]*/,
              // negative look-ahead tries to avoid matching patterns that are not
              // Perl at all like $ident$, @ident@, etc.
              `(?![\\w\\d])(?![$])`
            ) },
            BRACED_VAR
          ]
        });
        const SUBST = {
          className: "subst",
          begin: /\$\(/,
          end: /\)/,
          contains: [hljs.BACKSLASH_ESCAPE]
        };
        const COMMENT = hljs.inherit(
          hljs.COMMENT(),
          {
            match: [
              /(^|\s)/,
              /#.*$/
            ],
            scope: {
              2: "comment"
            }
          }
        );
        const HERE_DOC = {
          begin: /<<-?\s*(?=\w+)/,
          starts: { contains: [
            hljs.END_SAME_AS_BEGIN({
              begin: /(\w+)/,
              end: /(\w+)/,
              className: "string"
            })
          ] }
        };
        const QUOTE_STRING = {
          className: "string",
          begin: /"/,
          end: /"/,
          contains: [
            hljs.BACKSLASH_ESCAPE,
            VAR,
            SUBST
          ]
        };
        SUBST.contains.push(QUOTE_STRING);
        const ESCAPED_QUOTE = {
          match: /\\"/
        };
        const APOS_STRING = {
          className: "string",
          begin: /'/,
          end: /'/
        };
        const ESCAPED_APOS = {
          match: /\\'/
        };
        const ARITHMETIC = {
          begin: /\$?\(\(/,
          end: /\)\)/,
          contains: [
            {
              begin: /\d+#[0-9a-f]+/,
              className: "number"
            },
            hljs.NUMBER_MODE,
            VAR
          ]
        };
        const SH_LIKE_SHELLS = [
          "fish",
          "bash",
          "zsh",
          "sh",
          "csh",
          "ksh",
          "tcsh",
          "dash",
          "scsh"
        ];
        const KNOWN_SHEBANG = hljs.SHEBANG({
          binary: `(${SH_LIKE_SHELLS.join("|")})`,
          relevance: 10
        });
        const FUNCTION = {
          className: "function",
          begin: /\w[\w\d_]*\s*\(\s*\)\s*\{/,
          returnBegin: true,
          contains: [hljs.inherit(hljs.TITLE_MODE, { begin: /\w[\w\d_]*/ })],
          relevance: 0
        };
        const KEYWORDS = [
          "if",
          "then",
          "else",
          "elif",
          "fi",
          "time",
          "for",
          "while",
          "until",
          "in",
          "do",
          "done",
          "case",
          "esac",
          "coproc",
          "function",
          "select"
        ];
        const LITERALS = [
          "true",
          "false"
        ];
        const PATH_MODE = { match: /(\/[a-z._-]+)+/ };
        const SHELL_BUILT_INS = [
          "break",
          "cd",
          "continue",
          "eval",
          "exec",
          "exit",
          "export",
          "getopts",
          "hash",
          "pwd",
          "readonly",
          "return",
          "shift",
          "test",
          "times",
          "trap",
          "umask",
          "unset"
        ];
        const BASH_BUILT_INS = [
          "alias",
          "bind",
          "builtin",
          "caller",
          "command",
          "declare",
          "echo",
          "enable",
          "help",
          "let",
          "local",
          "logout",
          "mapfile",
          "printf",
          "read",
          "readarray",
          "source",
          "sudo",
          "type",
          "typeset",
          "ulimit",
          "unalias"
        ];
        const ZSH_BUILT_INS = [
          "autoload",
          "bg",
          "bindkey",
          "bye",
          "cap",
          "chdir",
          "clone",
          "comparguments",
          "compcall",
          "compctl",
          "compdescribe",
          "compfiles",
          "compgroups",
          "compquote",
          "comptags",
          "comptry",
          "compvalues",
          "dirs",
          "disable",
          "disown",
          "echotc",
          "echoti",
          "emulate",
          "fc",
          "fg",
          "float",
          "functions",
          "getcap",
          "getln",
          "history",
          "integer",
          "jobs",
          "kill",
          "limit",
          "log",
          "noglob",
          "popd",
          "print",
          "pushd",
          "pushln",
          "rehash",
          "sched",
          "setcap",
          "setopt",
          "stat",
          "suspend",
          "ttyctl",
          "unfunction",
          "unhash",
          "unlimit",
          "unsetopt",
          "vared",
          "wait",
          "whence",
          "where",
          "which",
          "zcompile",
          "zformat",
          "zftp",
          "zle",
          "zmodload",
          "zparseopts",
          "zprof",
          "zpty",
          "zregexparse",
          "zsocket",
          "zstyle",
          "ztcp"
        ];
        const GNU_CORE_UTILS = [
          "chcon",
          "chgrp",
          "chown",
          "chmod",
          "cp",
          "dd",
          "df",
          "dir",
          "dircolors",
          "ln",
          "ls",
          "mkdir",
          "mkfifo",
          "mknod",
          "mktemp",
          "mv",
          "realpath",
          "rm",
          "rmdir",
          "shred",
          "sync",
          "touch",
          "truncate",
          "vdir",
          "b2sum",
          "base32",
          "base64",
          "cat",
          "cksum",
          "comm",
          "csplit",
          "cut",
          "expand",
          "fmt",
          "fold",
          "head",
          "join",
          "md5sum",
          "nl",
          "numfmt",
          "od",
          "paste",
          "ptx",
          "pr",
          "sha1sum",
          "sha224sum",
          "sha256sum",
          "sha384sum",
          "sha512sum",
          "shuf",
          "sort",
          "split",
          "sum",
          "tac",
          "tail",
          "tr",
          "tsort",
          "unexpand",
          "uniq",
          "wc",
          "arch",
          "basename",
          "chroot",
          "date",
          "dirname",
          "du",
          "echo",
          "env",
          "expr",
          "factor",
          // "false", // keyword literal already
          "groups",
          "hostid",
          "id",
          "link",
          "logname",
          "nice",
          "nohup",
          "nproc",
          "pathchk",
          "pinky",
          "printenv",
          "printf",
          "pwd",
          "readlink",
          "runcon",
          "seq",
          "sleep",
          "stat",
          "stdbuf",
          "stty",
          "tee",
          "test",
          "timeout",
          // "true", // keyword literal already
          "tty",
          "uname",
          "unlink",
          "uptime",
          "users",
          "who",
          "whoami",
          "yes"
        ];
        return {
          name: "Bash",
          aliases: [
            "sh",
            "zsh"
          ],
          keywords: {
            $pattern: /\b[a-z][a-z0-9._-]+\b/,
            keyword: KEYWORDS,
            literal: LITERALS,
            built_in: [
              ...SHELL_BUILT_INS,
              ...BASH_BUILT_INS,
              // Shell modifiers
              "set",
              "shopt",
              ...ZSH_BUILT_INS,
              ...GNU_CORE_UTILS
            ]
          },
          contains: [
            KNOWN_SHEBANG,
            // to catch known shells and boost relevancy
            hljs.SHEBANG(),
            // to catch unknown shells but still highlight the shebang
            FUNCTION,
            ARITHMETIC,
            COMMENT,
            HERE_DOC,
            PATH_MODE,
            QUOTE_STRING,
            ESCAPED_QUOTE,
            APOS_STRING,
            ESCAPED_APOS,
            VAR
          ]
        };
      }
      module.exports = bash;
    }
  });

  // node_modules/highlight.js/lib/languages/basic.js
  var require_basic = __commonJS({
    "node_modules/highlight.js/lib/languages/basic.js"(exports, module) {
      function basic(hljs) {
        const KEYWORDS = [
          "ABS",
          "ASC",
          "AND",
          "ATN",
          "AUTO|0",
          "BEEP",
          "BLOAD|10",
          "BSAVE|10",
          "CALL",
          "CALLS",
          "CDBL",
          "CHAIN",
          "CHDIR",
          "CHR$|10",
          "CINT",
          "CIRCLE",
          "CLEAR",
          "CLOSE",
          "CLS",
          "COLOR",
          "COM",
          "COMMON",
          "CONT",
          "COS",
          "CSNG",
          "CSRLIN",
          "CVD",
          "CVI",
          "CVS",
          "DATA",
          "DATE$",
          "DEFDBL",
          "DEFINT",
          "DEFSNG",
          "DEFSTR",
          "DEF|0",
          "SEG",
          "USR",
          "DELETE",
          "DIM",
          "DRAW",
          "EDIT",
          "END",
          "ENVIRON",
          "ENVIRON$",
          "EOF",
          "EQV",
          "ERASE",
          "ERDEV",
          "ERDEV$",
          "ERL",
          "ERR",
          "ERROR",
          "EXP",
          "FIELD",
          "FILES",
          "FIX",
          "FOR|0",
          "FRE",
          "GET",
          "GOSUB|10",
          "GOTO",
          "HEX$",
          "IF",
          "THEN",
          "ELSE|0",
          "INKEY$",
          "INP",
          "INPUT",
          "INPUT#",
          "INPUT$",
          "INSTR",
          "IMP",
          "INT",
          "IOCTL",
          "IOCTL$",
          "KEY",
          "ON",
          "OFF",
          "LIST",
          "KILL",
          "LEFT$",
          "LEN",
          "LET",
          "LINE",
          "LLIST",
          "LOAD",
          "LOC",
          "LOCATE",
          "LOF",
          "LOG",
          "LPRINT",
          "USING",
          "LSET",
          "MERGE",
          "MID$",
          "MKDIR",
          "MKD$",
          "MKI$",
          "MKS$",
          "MOD",
          "NAME",
          "NEW",
          "NEXT",
          "NOISE",
          "NOT",
          "OCT$",
          "ON",
          "OR",
          "PEN",
          "PLAY",
          "STRIG",
          "OPEN",
          "OPTION",
          "BASE",
          "OUT",
          "PAINT",
          "PALETTE",
          "PCOPY",
          "PEEK",
          "PMAP",
          "POINT",
          "POKE",
          "POS",
          "PRINT",
          "PRINT]",
          "PSET",
          "PRESET",
          "PUT",
          "RANDOMIZE",
          "READ",
          "REM",
          "RENUM",
          "RESET|0",
          "RESTORE",
          "RESUME",
          "RETURN|0",
          "RIGHT$",
          "RMDIR",
          "RND",
          "RSET",
          "RUN",
          "SAVE",
          "SCREEN",
          "SGN",
          "SHELL",
          "SIN",
          "SOUND",
          "SPACE$",
          "SPC",
          "SQR",
          "STEP",
          "STICK",
          "STOP",
          "STR$",
          "STRING$",
          "SWAP",
          "SYSTEM",
          "TAB",
          "TAN",
          "TIME$",
          "TIMER",
          "TROFF",
          "TRON",
          "TO",
          "USR",
          "VAL",
          "VARPTR",
          "VARPTR$",
          "VIEW",
          "WAIT",
          "WHILE",
          "WEND",
          "WIDTH",
          "WINDOW",
          "WRITE",
          "XOR"
        ];
        return {
          name: "BASIC",
          case_insensitive: true,
          illegal: "^.",
          // Support explicitly typed variables that end with $%! or #.
          keywords: {
            $pattern: "[a-zA-Z][a-zA-Z0-9_$%!#]*",
            keyword: KEYWORDS
          },
          contains: [
            {
              // Match strings that start with " and end with " or a line break
              scope: "string",
              begin: /"/,
              end: /"|$/,
              contains: [hljs.BACKSLASH_ESCAPE]
            },
            hljs.COMMENT("REM", "$", { relevance: 10 }),
            hljs.COMMENT("'", "$", { relevance: 0 }),
            {
              // Match line numbers
              className: "symbol",
              begin: "^[0-9]+ ",
              relevance: 10
            },
            {
              // Match typed numeric constants (1000, 12.34!, 1.2e5, 1.5#, 1.2D2)
              className: "number",
              begin: "\\b\\d+(\\.\\d+)?([edED]\\d+)?[#!]?",
              relevance: 0
            },
            {
              // Match hexadecimal numbers (&Hxxxx)
              className: "number",
              begin: "(&[hH][0-9a-fA-F]{1,4})"
            },
            {
              // Match octal numbers (&Oxxxxxx)
              className: "number",
              begin: "(&[oO][0-7]{1,6})"
            }
          ]
        };
      }
      module.exports = basic;
    }
  });

  // node_modules/highlight.js/lib/languages/bnf.js
  var require_bnf = __commonJS({
    "node_modules/highlight.js/lib/languages/bnf.js"(exports, module) {
      function bnf(hljs) {
        return {
          name: "Backus\u2013Naur Form",
          contains: [
            // Attribute
            {
              className: "attribute",
              begin: /</,
              end: />/
            },
            // Specific
            {
              begin: /::=/,
              end: /$/,
              contains: [
                {
                  begin: /</,
                  end: />/
                },
                // Common
                hljs.C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE,
                hljs.APOS_STRING_MODE,
                hljs.QUOTE_STRING_MODE
              ]
            }
          ]
        };
      }
      module.exports = bnf;
    }
  });

  // node_modules/highlight.js/lib/languages/brainfuck.js
  var require_brainfuck = __commonJS({
    "node_modules/highlight.js/lib/languages/brainfuck.js"(exports, module) {
      function brainfuck(hljs) {
        const LITERAL = {
          className: "literal",
          begin: /[+-]+/,
          relevance: 0
        };
        return {
          name: "Brainfuck",
          aliases: ["bf"],
          contains: [
            hljs.COMMENT(
              /[^\[\]\.,\+\-<> \r\n]/,
              /[\[\]\.,\+\-<> \r\n]/,
              {
                contains: [
                  {
                    match: /[ ]+[^\[\]\.,\+\-<> \r\n]/,
                    relevance: 0
                  }
                ],
                returnEnd: true,
                relevance: 0
              }
            ),
            {
              className: "title",
              begin: "[\\[\\]]",
              relevance: 0
            },
            {
              className: "string",
              begin: "[\\.,]",
              relevance: 0
            },
            {
              // this mode works as the only relevance counter
              // it looks ahead to find the start of a run of literals
              // so only the runs are counted as relevant
              begin: /(?=\+\+|--)/,
              contains: [LITERAL]
            },
            LITERAL
          ]
        };
      }
      module.exports = brainfuck;
    }
  });

  // node_modules/highlight.js/lib/languages/c.js
  var require_c2 = __commonJS({
    "node_modules/highlight.js/lib/languages/c.js"(exports, module) {
      function c(hljs) {
        const regex = hljs.regex;
        const C_LINE_COMMENT_MODE = hljs.COMMENT("//", "$", { contains: [{ begin: /\\\n/ }] });
        const DECLTYPE_AUTO_RE = "decltype\\(auto\\)";
        const NAMESPACE_RE = "[a-zA-Z_]\\w*::";
        const TEMPLATE_ARGUMENT_RE = "<[^<>]+>";
        const FUNCTION_TYPE_RE = "(" + DECLTYPE_AUTO_RE + "|" + regex.optional(NAMESPACE_RE) + "[a-zA-Z_]\\w*" + regex.optional(TEMPLATE_ARGUMENT_RE) + ")";
        const TYPES = {
          className: "type",
          variants: [
            { begin: "\\b[a-z\\d_]*_t\\b" },
            { match: /\batomic_[a-z]{3,6}\b/ }
          ]
        };
        const CHARACTER_ESCAPES = "\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\S)";
        const STRINGS = {
          className: "string",
          variants: [
            {
              begin: '(u8?|U|L)?"',
              end: '"',
              illegal: "\\n",
              contains: [hljs.BACKSLASH_ESCAPE]
            },
            {
              begin: "(u8?|U|L)?'(" + CHARACTER_ESCAPES + "|.)",
              end: "'",
              illegal: "."
            },
            hljs.END_SAME_AS_BEGIN({
              begin: /(?:u8?|U|L)?R"([^()\\ ]{0,16})\(/,
              end: /\)([^()\\ ]{0,16})"/
            })
          ]
        };
        const NUMBERS = {
          className: "number",
          variants: [
            { match: /\b(0b[01']+)/ },
            { match: /(-?)\b([\d']+(\.[\d']*)?|\.[\d']+)((ll|LL|l|L)(u|U)?|(u|U)(ll|LL|l|L)?|f|F|b|B)/ },
            { match: /(-?)\b(0[xX][a-fA-F0-9]+(?:'[a-fA-F0-9]+)*(?:\.[a-fA-F0-9]*(?:'[a-fA-F0-9]*)*)?(?:[pP][-+]?[0-9]+)?(l|L)?(u|U)?)/ },
            { match: /(-?)\b\d+(?:'\d+)*(?:\.\d*(?:'\d*)*)?(?:[eE][-+]?\d+)?/ }
          ],
          relevance: 0
        };
        const PREPROCESSOR = {
          className: "meta",
          begin: /#\s*[a-z]+\b/,
          end: /$/,
          keywords: { keyword: "if else elif endif define undef warning error line pragma _Pragma ifdef ifndef elifdef elifndef include" },
          contains: [
            {
              begin: /\\\n/,
              relevance: 0
            },
            hljs.inherit(STRINGS, { className: "string" }),
            {
              className: "string",
              begin: /<.*?>/
            },
            C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE
          ]
        };
        const TITLE_MODE = {
          className: "title",
          begin: regex.optional(NAMESPACE_RE) + hljs.IDENT_RE,
          relevance: 0
        };
        const FUNCTION_TITLE = regex.optional(NAMESPACE_RE) + hljs.IDENT_RE + "\\s*\\(";
        const C_KEYWORDS = [
          "asm",
          "auto",
          "break",
          "case",
          "continue",
          "default",
          "do",
          "else",
          "enum",
          "extern",
          "for",
          "fortran",
          "goto",
          "if",
          "inline",
          "register",
          "restrict",
          "return",
          "sizeof",
          "typeof",
          "typeof_unqual",
          "struct",
          "switch",
          "typedef",
          "union",
          "volatile",
          "while",
          "_Alignas",
          "_Alignof",
          "_Atomic",
          "_Generic",
          "_Noreturn",
          "_Static_assert",
          "_Thread_local",
          // aliases
          "alignas",
          "alignof",
          "noreturn",
          "static_assert",
          "thread_local",
          // not a C keyword but is, for all intents and purposes, treated exactly like one.
          "_Pragma"
        ];
        const C_TYPES = [
          "float",
          "double",
          "signed",
          "unsigned",
          "int",
          "short",
          "long",
          "char",
          "void",
          "_Bool",
          "_BitInt",
          "_Complex",
          "_Imaginary",
          "_Decimal32",
          "_Decimal64",
          "_Decimal96",
          "_Decimal128",
          "_Decimal64x",
          "_Decimal128x",
          "_Float16",
          "_Float32",
          "_Float64",
          "_Float128",
          "_Float32x",
          "_Float64x",
          "_Float128x",
          // modifiers
          "const",
          "static",
          "constexpr",
          // aliases
          "complex",
          "bool",
          "imaginary"
        ];
        const KEYWORDS = {
          keyword: C_KEYWORDS,
          type: C_TYPES,
          literal: "true false NULL",
          // TODO: apply hinting work similar to what was done in cpp.js
          built_in: "std string wstring cin cout cerr clog stdin stdout stderr stringstream istringstream ostringstream auto_ptr deque list queue stack vector map set pair bitset multiset multimap unordered_set unordered_map unordered_multiset unordered_multimap priority_queue make_pair array shared_ptr abort terminate abs acos asin atan2 atan calloc ceil cosh cos exit exp fabs floor fmod fprintf fputs free frexp fscanf future isalnum isalpha iscntrl isdigit isgraph islower isprint ispunct isspace isupper isxdigit tolower toupper labs ldexp log10 log malloc realloc memchr memcmp memcpy memset modf pow printf putchar puts scanf sinh sin snprintf sprintf sqrt sscanf strcat strchr strcmp strcpy strcspn strlen strncat strncmp strncpy strpbrk strrchr strspn strstr tanh tan vfprintf vprintf vsprintf endl initializer_list unique_ptr"
        };
        const EXPRESSION_CONTAINS = [
          PREPROCESSOR,
          TYPES,
          C_LINE_COMMENT_MODE,
          hljs.C_BLOCK_COMMENT_MODE,
          NUMBERS,
          STRINGS
        ];
        const EXPRESSION_CONTEXT = {
          // This mode covers expression context where we can't expect a function
          // definition and shouldn't highlight anything that looks like one:
          // `return some()`, `else if()`, `(x*sum(1, 2))`
          variants: [
            {
              begin: /=/,
              end: /;/
            },
            {
              begin: /\(/,
              end: /\)/
            },
            {
              beginKeywords: "new throw return else",
              end: /;/
            }
          ],
          keywords: KEYWORDS,
          contains: EXPRESSION_CONTAINS.concat([
            {
              begin: /\(/,
              end: /\)/,
              keywords: KEYWORDS,
              contains: EXPRESSION_CONTAINS.concat(["self"]),
              relevance: 0
            }
          ]),
          relevance: 0
        };
        const FUNCTION_DECLARATION = {
          begin: "(" + FUNCTION_TYPE_RE + "[\\*&\\s]+)+" + FUNCTION_TITLE,
          returnBegin: true,
          end: /[{;=]/,
          excludeEnd: true,
          keywords: KEYWORDS,
          illegal: /[^\w\s\*&:<>.]/,
          contains: [
            {
              // to prevent it from being confused as the function title
              begin: DECLTYPE_AUTO_RE,
              keywords: KEYWORDS,
              relevance: 0
            },
            {
              begin: FUNCTION_TITLE,
              returnBegin: true,
              contains: [hljs.inherit(TITLE_MODE, { className: "title.function" })],
              relevance: 0
            },
            // allow for multiple declarations, e.g.:
            // extern void f(int), g(char);
            {
              relevance: 0,
              match: /,/
            },
            {
              className: "params",
              begin: /\(/,
              end: /\)/,
              keywords: KEYWORDS,
              relevance: 0,
              contains: [
                C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE,
                STRINGS,
                NUMBERS,
                TYPES,
                // Count matching parentheses.
                {
                  begin: /\(/,
                  end: /\)/,
                  keywords: KEYWORDS,
                  relevance: 0,
                  contains: [
                    "self",
                    C_LINE_COMMENT_MODE,
                    hljs.C_BLOCK_COMMENT_MODE,
                    STRINGS,
                    NUMBERS,
                    TYPES
                  ]
                }
              ]
            },
            TYPES,
            C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            PREPROCESSOR
          ]
        };
        return {
          name: "C",
          aliases: ["h"],
          keywords: KEYWORDS,
          // Until differentiations are added between `c` and `cpp`, `c` will
          // not be auto-detected to avoid auto-detect conflicts between C and C++
          disableAutodetect: true,
          illegal: "</",
          contains: [].concat(
            EXPRESSION_CONTEXT,
            FUNCTION_DECLARATION,
            EXPRESSION_CONTAINS,
            [
              PREPROCESSOR,
              {
                begin: hljs.IDENT_RE + "::",
                keywords: KEYWORDS
              },
              {
                className: "class",
                beginKeywords: "enum class struct union",
                end: /[{;:<>=]/,
                contains: [
                  { beginKeywords: "final class struct" },
                  hljs.TITLE_MODE
                ]
              }
            ]
          ),
          exports: {
            preprocessor: PREPROCESSOR,
            strings: STRINGS,
            keywords: KEYWORDS
          }
        };
      }
      module.exports = c;
    }
  });

  // node_modules/highlight.js/lib/languages/cal.js
  var require_cal = __commonJS({
    "node_modules/highlight.js/lib/languages/cal.js"(exports, module) {
      function cal(hljs) {
        const regex = hljs.regex;
        const KEYWORDS = [
          "div",
          "mod",
          "in",
          "and",
          "or",
          "not",
          "xor",
          "asserterror",
          "begin",
          "case",
          "do",
          "downto",
          "else",
          "end",
          "exit",
          "for",
          "local",
          "if",
          "of",
          "repeat",
          "then",
          "to",
          "until",
          "while",
          "with",
          "var"
        ];
        const LITERALS = "false true";
        const COMMENT_MODES = [
          hljs.C_LINE_COMMENT_MODE,
          hljs.COMMENT(
            /\{/,
            /\}/,
            { relevance: 0 }
          ),
          hljs.COMMENT(
            /\(\*/,
            /\*\)/,
            { relevance: 10 }
          )
        ];
        const STRING = {
          className: "string",
          begin: /'/,
          end: /'/,
          contains: [{ begin: /''/ }]
        };
        const CHAR_STRING = {
          className: "string",
          begin: /(#\d+)+/
        };
        const DATE = {
          className: "number",
          begin: "\\b\\d+(\\.\\d+)?(DT|D|T)",
          relevance: 0
        };
        const DBL_QUOTED_VARIABLE = {
          className: "string",
          // not a string technically but makes sense to be highlighted in the same style
          begin: '"',
          end: '"'
        };
        const PROCEDURE = {
          match: [
            /procedure/,
            /\s+/,
            /[a-zA-Z_][\w@]*/,
            /\s*/
          ],
          scope: {
            1: "keyword",
            3: "title.function"
          },
          contains: [
            {
              className: "params",
              begin: /\(/,
              end: /\)/,
              keywords: KEYWORDS,
              contains: [
                STRING,
                CHAR_STRING,
                hljs.NUMBER_MODE
              ]
            },
            ...COMMENT_MODES
          ]
        };
        const OBJECT_TYPES = [
          "Table",
          "Form",
          "Report",
          "Dataport",
          "Codeunit",
          "XMLport",
          "MenuSuite",
          "Page",
          "Query"
        ];
        const OBJECT = {
          match: [
            /OBJECT/,
            /\s+/,
            regex.either(...OBJECT_TYPES),
            /\s+/,
            /\d+/,
            /\s+(?=[^\s])/,
            /.*/,
            /$/
          ],
          relevance: 3,
          scope: {
            1: "keyword",
            3: "type",
            5: "number",
            7: "title"
          }
        };
        const PROPERTY = {
          match: /[\w]+(?=\=)/,
          scope: "attribute",
          relevance: 0
        };
        return {
          name: "C/AL",
          case_insensitive: true,
          keywords: {
            keyword: KEYWORDS,
            literal: LITERALS
          },
          illegal: /\/\*/,
          contains: [
            PROPERTY,
            STRING,
            CHAR_STRING,
            DATE,
            DBL_QUOTED_VARIABLE,
            hljs.NUMBER_MODE,
            OBJECT,
            PROCEDURE
          ]
        };
      }
      module.exports = cal;
    }
  });

  // node_modules/highlight.js/lib/languages/capnproto.js
  var require_capnproto = __commonJS({
    "node_modules/highlight.js/lib/languages/capnproto.js"(exports, module) {
      function capnproto(hljs) {
        const KEYWORDS = [
          "struct",
          "enum",
          "interface",
          "union",
          "group",
          "import",
          "using",
          "const",
          "annotation",
          "extends",
          "in",
          "of",
          "on",
          "as",
          "with",
          "from",
          "fixed"
        ];
        const TYPES = [
          "Void",
          "Bool",
          "Int8",
          "Int16",
          "Int32",
          "Int64",
          "UInt8",
          "UInt16",
          "UInt32",
          "UInt64",
          "Float32",
          "Float64",
          "Text",
          "Data",
          "AnyPointer",
          "AnyStruct",
          "Capability",
          "List"
        ];
        const LITERALS = [
          "true",
          "false"
        ];
        const CLASS_DEFINITION = {
          variants: [
            { match: [
              /(struct|enum|interface)/,
              /\s+/,
              hljs.IDENT_RE
            ] },
            { match: [
              /extends/,
              /\s*\(/,
              hljs.IDENT_RE,
              /\s*\)/
            ] }
          ],
          scope: {
            1: "keyword",
            3: "title.class"
          }
        };
        return {
          name: "Cap\u2019n Proto",
          aliases: ["capnp"],
          keywords: {
            keyword: KEYWORDS,
            type: TYPES,
            literal: LITERALS
          },
          contains: [
            hljs.QUOTE_STRING_MODE,
            hljs.NUMBER_MODE,
            hljs.HASH_COMMENT_MODE,
            {
              className: "meta",
              begin: /@0x[\w\d]{16};/,
              illegal: /\n/
            },
            {
              className: "symbol",
              begin: /@\d+\b/
            },
            CLASS_DEFINITION
          ]
        };
      }
      module.exports = capnproto;
    }
  });

  // node_modules/highlight.js/lib/languages/ceylon.js
  var require_ceylon = __commonJS({
    "node_modules/highlight.js/lib/languages/ceylon.js"(exports, module) {
      function ceylon(hljs) {
        const KEYWORDS = [
          "assembly",
          "module",
          "package",
          "import",
          "alias",
          "class",
          "interface",
          "object",
          "given",
          "value",
          "assign",
          "void",
          "function",
          "new",
          "of",
          "extends",
          "satisfies",
          "abstracts",
          "in",
          "out",
          "return",
          "break",
          "continue",
          "throw",
          "assert",
          "dynamic",
          "if",
          "else",
          "switch",
          "case",
          "for",
          "while",
          "try",
          "catch",
          "finally",
          "then",
          "let",
          "this",
          "outer",
          "super",
          "is",
          "exists",
          "nonempty"
        ];
        const DECLARATION_MODIFIERS = [
          "shared",
          "abstract",
          "formal",
          "default",
          "actual",
          "variable",
          "late",
          "native",
          "deprecated",
          "final",
          "sealed",
          "annotation",
          "suppressWarnings",
          "small"
        ];
        const DOCUMENTATION = [
          "doc",
          "by",
          "license",
          "see",
          "throws",
          "tagged"
        ];
        const SUBST = {
          className: "subst",
          excludeBegin: true,
          excludeEnd: true,
          begin: /``/,
          end: /``/,
          keywords: KEYWORDS,
          relevance: 10
        };
        const EXPRESSIONS2 = [
          {
            // verbatim string
            className: "string",
            begin: '"""',
            end: '"""',
            relevance: 10
          },
          {
            // string literal or template
            className: "string",
            begin: '"',
            end: '"',
            contains: [SUBST]
          },
          {
            // character literal
            className: "string",
            begin: "'",
            end: "'"
          },
          {
            // numeric literal
            className: "number",
            begin: "#[0-9a-fA-F_]+|\\$[01_]+|[0-9_]+(?:\\.[0-9_](?:[eE][+-]?\\d+)?)?[kMGTPmunpf]?",
            relevance: 0
          }
        ];
        SUBST.contains = EXPRESSIONS2;
        return {
          name: "Ceylon",
          keywords: {
            keyword: KEYWORDS.concat(DECLARATION_MODIFIERS),
            meta: DOCUMENTATION
          },
          illegal: "\\$[^01]|#[^0-9a-fA-F]",
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.COMMENT("/\\*", "\\*/", { contains: ["self"] }),
            {
              // compiler annotation
              className: "meta",
              begin: '@[a-z]\\w*(?::"[^"]*")?'
            }
          ].concat(EXPRESSIONS2)
        };
      }
      module.exports = ceylon;
    }
  });

  // node_modules/highlight.js/lib/languages/clean.js
  var require_clean = __commonJS({
    "node_modules/highlight.js/lib/languages/clean.js"(exports, module) {
      function clean(hljs) {
        const KEYWORDS = [
          "if",
          "let",
          "in",
          "with",
          "where",
          "case",
          "of",
          "class",
          "instance",
          "otherwise",
          "implementation",
          "definition",
          "system",
          "module",
          "from",
          "import",
          "qualified",
          "as",
          "special",
          "code",
          "inline",
          "foreign",
          "export",
          "ccall",
          "stdcall",
          "generic",
          "derive",
          "infix",
          "infixl",
          "infixr"
        ];
        return {
          name: "Clean",
          aliases: [
            "icl",
            "dcl"
          ],
          keywords: {
            keyword: KEYWORDS,
            built_in: "Int Real Char Bool",
            literal: "True False"
          },
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.C_NUMBER_MODE,
            {
              // relevance booster
              begin: "->|<-[|:]?|#!?|>>=|\\{\\||\\|\\}|:==|=:|<>"
            }
          ]
        };
      }
      module.exports = clean;
    }
  });

  // node_modules/highlight.js/lib/languages/clojure.js
  var require_clojure = __commonJS({
    "node_modules/highlight.js/lib/languages/clojure.js"(exports, module) {
      function clojure(hljs) {
        const SYMBOLSTART = "a-zA-Z_\\-!.?+*=<>&'";
        const SYMBOL_RE = "[#]?[" + SYMBOLSTART + "][" + SYMBOLSTART + "0-9/;:$#]*";
        const globals = "def defonce defprotocol defstruct defmulti defmethod defn- defn defmacro deftype defrecord";
        const keywords = {
          $pattern: SYMBOL_RE,
          built_in: (
            // Clojure keywords
            globals + " cond apply if-not if-let if not not= =|0 <|0 >|0 <=|0 >=|0 ==|0 +|0 /|0 *|0 -|0 rem quot neg? pos? delay? symbol? keyword? true? false? integer? empty? coll? list? set? ifn? fn? associative? sequential? sorted? counted? reversible? number? decimal? class? distinct? isa? float? rational? reduced? ratio? odd? even? char? seq? vector? string? map? nil? contains? zero? instance? not-every? not-any? libspec? -> ->> .. . inc compare do dotimes mapcat take remove take-while drop letfn drop-last take-last drop-while while intern condp case reduced cycle split-at split-with repeat replicate iterate range merge zipmap declare line-seq sort comparator sort-by dorun doall nthnext nthrest partition eval doseq await await-for let agent atom send send-off release-pending-sends add-watch mapv filterv remove-watch agent-error restart-agent set-error-handler error-handler set-error-mode! error-mode shutdown-agents quote var fn loop recur throw try monitor-enter monitor-exit macroexpand macroexpand-1 for dosync and or when when-not when-let comp juxt partial sequence memoize constantly complement identity assert peek pop doto proxy first rest cons cast coll last butlast sigs reify second ffirst fnext nfirst nnext meta with-meta ns in-ns create-ns import refer keys select-keys vals key val rseq name namespace promise into transient persistent! conj! assoc! dissoc! pop! disj! use class type num float double short byte boolean bigint biginteger bigdec print-method print-dup throw-if printf format load compile get-in update-in pr pr-on newline flush read slurp read-line subvec with-open memfn time re-find re-groups rand-int rand mod locking assert-valid-fdecl alias resolve ref deref refset swap! reset! set-validator! compare-and-set! alter-meta! reset-meta! commute get-validator alter ref-set ref-history-count ref-min-history ref-max-history ensure sync io! new next conj set! to-array future future-call into-array aset gen-class reduce map filter find empty hash-map hash-set sorted-map sorted-map-by sorted-set sorted-set-by vec vector seq flatten reverse assoc dissoc list disj get union difference intersection extend extend-type extend-protocol int nth delay count concat chunk chunk-buffer chunk-append chunk-first chunk-rest max min dec unchecked-inc-int unchecked-inc unchecked-dec-inc unchecked-dec unchecked-negate unchecked-add-int unchecked-add unchecked-subtract-int unchecked-subtract chunk-next chunk-cons chunked-seq? prn vary-meta lazy-seq spread list* str find-keyword keyword symbol gensym force rationalize"
          )
        };
        const SYMBOL = {
          begin: SYMBOL_RE,
          relevance: 0
        };
        const NUMBER = {
          scope: "number",
          relevance: 0,
          variants: [
            { match: /[-+]?0[xX][0-9a-fA-F]+N?/ },
            // hexadecimal                 // 0x2a
            { match: /[-+]?0[0-7]+N?/ },
            // octal                       // 052
            { match: /[-+]?[1-9][0-9]?[rR][0-9a-zA-Z]+N?/ },
            // variable radix from 2 to 36 // 2r101010, 8r52, 36r16
            { match: /[-+]?[0-9]+\/[0-9]+N?/ },
            // ratio                       // 1/2
            { match: /[-+]?[0-9]+((\.[0-9]*([eE][+-]?[0-9]+)?M?)|([eE][+-]?[0-9]+M?|M))/ },
            // float        // 0.42 4.2E-1M 42E1 42M
            { match: /[-+]?([1-9][0-9]*|0)N?/ }
            // int (don't match leading 0) // 42 42N
          ]
        };
        const CHARACTER = {
          scope: "character",
          variants: [
            { match: /\\o[0-3]?[0-7]{1,2}/ },
            // Unicode Octal 0 - 377
            { match: /\\u[0-9a-fA-F]{4}/ },
            // Unicode Hex 0000 - FFFF
            { match: /\\(newline|space|tab|formfeed|backspace|return)/ },
            // special characters
            {
              match: /\\\S/,
              relevance: 0
            }
            // any non-whitespace char
          ]
        };
        const REGEX = {
          scope: "regex",
          begin: /#"/,
          end: /"/,
          contains: [hljs.BACKSLASH_ESCAPE]
        };
        const STRING = hljs.inherit(hljs.QUOTE_STRING_MODE, { illegal: null });
        const COMMA = {
          scope: "punctuation",
          match: /,/,
          relevance: 0
        };
        const COMMENT = hljs.COMMENT(
          ";",
          "$",
          { relevance: 0 }
        );
        const LITERAL = {
          className: "literal",
          begin: /\b(true|false|nil)\b/
        };
        const COLLECTION = {
          begin: "\\[|(#::?" + SYMBOL_RE + ")?\\{",
          end: "[\\]\\}]",
          relevance: 0
        };
        const KEY = {
          className: "symbol",
          begin: "[:]{1,2}" + SYMBOL_RE
        };
        const LIST = {
          begin: "\\(",
          end: "\\)"
        };
        const BODY = {
          endsWithParent: true,
          relevance: 0
        };
        const NAME = {
          keywords,
          className: "name",
          begin: SYMBOL_RE,
          relevance: 0,
          starts: BODY
        };
        const DEFAULT_CONTAINS = [
          COMMA,
          LIST,
          CHARACTER,
          REGEX,
          STRING,
          COMMENT,
          KEY,
          COLLECTION,
          NUMBER,
          LITERAL,
          SYMBOL
        ];
        const GLOBAL = {
          beginKeywords: globals,
          keywords: {
            $pattern: SYMBOL_RE,
            keyword: globals
          },
          end: '(\\[|#|\\d|"|:|\\{|\\)|\\(|$)',
          contains: [
            {
              className: "title",
              begin: SYMBOL_RE,
              relevance: 0,
              excludeEnd: true,
              // we can only have a single title
              endsParent: true
            }
          ].concat(DEFAULT_CONTAINS)
        };
        LIST.contains = [
          GLOBAL,
          NAME,
          BODY
        ];
        BODY.contains = DEFAULT_CONTAINS;
        COLLECTION.contains = DEFAULT_CONTAINS;
        return {
          name: "Clojure",
          aliases: [
            "clj",
            "edn"
          ],
          illegal: /\S/,
          contains: [
            COMMA,
            LIST,
            CHARACTER,
            REGEX,
            STRING,
            COMMENT,
            KEY,
            COLLECTION,
            NUMBER,
            LITERAL
          ]
        };
      }
      module.exports = clojure;
    }
  });

  // node_modules/highlight.js/lib/languages/clojure-repl.js
  var require_clojure_repl = __commonJS({
    "node_modules/highlight.js/lib/languages/clojure-repl.js"(exports, module) {
      function clojureRepl(hljs) {
        return {
          name: "Clojure REPL",
          contains: [
            {
              className: "meta.prompt",
              begin: /^([\w.-]+|\s*#_)?=>/,
              starts: {
                end: /$/,
                subLanguage: "clojure"
              }
            }
          ]
        };
      }
      module.exports = clojureRepl;
    }
  });

  // node_modules/highlight.js/lib/languages/cmake.js
  var require_cmake = __commonJS({
    "node_modules/highlight.js/lib/languages/cmake.js"(exports, module) {
      function cmake(hljs) {
        return {
          name: "CMake",
          aliases: ["cmake.in"],
          case_insensitive: true,
          keywords: { keyword: (
            // scripting commands
            "break cmake_host_system_information cmake_minimum_required cmake_parse_arguments cmake_policy configure_file continue elseif else endforeach endfunction endif endmacro endwhile execute_process file find_file find_library find_package find_path find_program foreach function get_cmake_property get_directory_property get_filename_component get_property if include include_guard list macro mark_as_advanced math message option return separate_arguments set_directory_properties set_property set site_name string unset variable_watch while add_compile_definitions add_compile_options add_custom_command add_custom_target add_definitions add_dependencies add_executable add_library add_link_options add_subdirectory add_test aux_source_directory build_command create_test_sourcelist define_property enable_language enable_testing export fltk_wrap_ui get_source_file_property get_target_property get_test_property include_directories include_external_msproject include_regular_expression install link_directories link_libraries load_cache project qt_wrap_cpp qt_wrap_ui remove_definitions set_source_files_properties set_target_properties set_tests_properties source_group target_compile_definitions target_compile_features target_compile_options target_include_directories target_link_directories target_link_libraries target_link_options target_sources try_compile try_run ctest_build ctest_configure ctest_coverage ctest_empty_binary_directory ctest_memcheck ctest_read_custom_files ctest_run_script ctest_sleep ctest_start ctest_submit ctest_test ctest_update ctest_upload build_name exec_program export_library_dependencies install_files install_programs install_targets load_command make_directory output_required_files remove subdir_depends subdirs use_mangled_mesa utility_source variable_requires write_file qt5_use_modules qt5_use_package qt5_wrap_cpp on off true false and or not command policy target test exists is_newer_than is_directory is_symlink is_absolute matches less greater equal less_equal greater_equal strless strgreater strequal strless_equal strgreater_equal version_less version_greater version_equal version_less_equal version_greater_equal in_list defined"
          ) },
          contains: [
            {
              className: "variable",
              begin: /\$\{/,
              end: /\}/
            },
            hljs.COMMENT(/#\[\[/, /]]/),
            hljs.HASH_COMMENT_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.NUMBER_MODE
          ]
        };
      }
      module.exports = cmake;
    }
  });

  // node_modules/highlight.js/lib/languages/coffeescript.js
  var require_coffeescript = __commonJS({
    "node_modules/highlight.js/lib/languages/coffeescript.js"(exports, module) {
      var KEYWORDS = [
        "as",
        // for exports
        "in",
        "of",
        "if",
        "for",
        "while",
        "finally",
        "var",
        "new",
        "function",
        "do",
        "return",
        "void",
        "else",
        "break",
        "catch",
        "instanceof",
        "with",
        "throw",
        "case",
        "default",
        "try",
        "switch",
        "continue",
        "typeof",
        "delete",
        "let",
        "yield",
        "const",
        "class",
        // JS handles these with a special rule
        // "get",
        // "set",
        "debugger",
        "async",
        "await",
        "static",
        "import",
        "from",
        "export",
        "extends",
        // It's reached stage 3, which is "recommended for implementation":
        "using"
      ];
      var LITERALS = [
        "true",
        "false",
        "null",
        "undefined",
        "NaN",
        "Infinity"
      ];
      var TYPES = [
        // Fundamental objects
        "Object",
        "Function",
        "Boolean",
        "Symbol",
        // numbers and dates
        "Math",
        "Date",
        "Number",
        "BigInt",
        // text
        "String",
        "RegExp",
        // Indexed collections
        "Array",
        "Float32Array",
        "Float64Array",
        "Int8Array",
        "Uint8Array",
        "Uint8ClampedArray",
        "Int16Array",
        "Int32Array",
        "Uint16Array",
        "Uint32Array",
        "BigInt64Array",
        "BigUint64Array",
        // Keyed collections
        "Set",
        "Map",
        "WeakSet",
        "WeakMap",
        // Structured data
        "ArrayBuffer",
        "SharedArrayBuffer",
        "Atomics",
        "DataView",
        "JSON",
        // Control abstraction objects
        "Promise",
        "Generator",
        "GeneratorFunction",
        "AsyncFunction",
        // Reflection
        "Reflect",
        "Proxy",
        // Internationalization
        "Intl",
        // WebAssembly
        "WebAssembly"
      ];
      var ERROR_TYPES = [
        "Error",
        "EvalError",
        "InternalError",
        "RangeError",
        "ReferenceError",
        "SyntaxError",
        "TypeError",
        "URIError"
      ];
      var BUILT_IN_GLOBALS = [
        "setInterval",
        "setTimeout",
        "clearInterval",
        "clearTimeout",
        "require",
        "exports",
        "eval",
        "isFinite",
        "isNaN",
        "parseFloat",
        "parseInt",
        "decodeURI",
        "decodeURIComponent",
        "encodeURI",
        "encodeURIComponent",
        "escape",
        "unescape"
      ];
      var BUILT_INS = [].concat(
        BUILT_IN_GLOBALS,
        TYPES,
        ERROR_TYPES
      );
      function coffeescript(hljs) {
        const COFFEE_BUILT_INS = [
          "npm",
          "print"
        ];
        const COFFEE_LITERALS = [
          "yes",
          "no",
          "on",
          "off"
        ];
        const COFFEE_KEYWORDS = [
          "then",
          "unless",
          "until",
          "loop",
          "by",
          "when",
          "and",
          "or",
          "is",
          "isnt",
          "not"
        ];
        const NOT_VALID_KEYWORDS = [
          "var",
          "const",
          "let",
          "function",
          "static"
        ];
        const excluding = (list) => (kw) => !list.includes(kw);
        const KEYWORDS$1 = {
          keyword: KEYWORDS.concat(COFFEE_KEYWORDS).filter(excluding(NOT_VALID_KEYWORDS)),
          literal: LITERALS.concat(COFFEE_LITERALS),
          built_in: BUILT_INS.concat(COFFEE_BUILT_INS)
        };
        const JS_IDENT_RE = "[A-Za-z$_][0-9A-Za-z$_]*";
        const SUBST = {
          className: "subst",
          begin: /#\{/,
          end: /\}/,
          keywords: KEYWORDS$1
        };
        const EXPRESSIONS2 = [
          hljs.BINARY_NUMBER_MODE,
          hljs.inherit(hljs.C_NUMBER_MODE, { starts: {
            end: "(\\s*/)?",
            relevance: 0
          } }),
          // a number tries to eat the following slash to prevent treating it as a regexp
          {
            className: "string",
            variants: [
              {
                begin: /'''/,
                end: /'''/,
                contains: [hljs.BACKSLASH_ESCAPE]
              },
              {
                begin: /'/,
                end: /'/,
                contains: [hljs.BACKSLASH_ESCAPE]
              },
              {
                begin: /"""/,
                end: /"""/,
                contains: [
                  hljs.BACKSLASH_ESCAPE,
                  SUBST
                ]
              },
              {
                begin: /"/,
                end: /"/,
                contains: [
                  hljs.BACKSLASH_ESCAPE,
                  SUBST
                ]
              }
            ]
          },
          {
            className: "regexp",
            variants: [
              {
                begin: "///",
                end: "///",
                contains: [
                  SUBST,
                  hljs.HASH_COMMENT_MODE
                ]
              },
              {
                begin: "//[gim]{0,3}(?=\\W)",
                relevance: 0
              },
              {
                // regex can't start with space to parse x / 2 / 3 as two divisions
                // regex can't start with *, and it supports an "illegal" in the main mode
                begin: /\/(?![ *]).*?(?![\\]).\/[gim]{0,3}(?=\W)/
              }
            ]
          },
          {
            begin: "@" + JS_IDENT_RE
            // relevance booster
          },
          {
            subLanguage: "javascript",
            excludeBegin: true,
            excludeEnd: true,
            variants: [
              {
                begin: "```",
                end: "```"
              },
              {
                begin: "`",
                end: "`"
              }
            ]
          }
        ];
        SUBST.contains = EXPRESSIONS2;
        const TITLE = hljs.inherit(hljs.TITLE_MODE, { begin: JS_IDENT_RE });
        const POSSIBLE_PARAMS_RE = "(\\(.*\\)\\s*)?\\B[-=]>";
        const PARAMS = {
          className: "params",
          begin: "\\([^\\(]",
          returnBegin: true,
          /* We need another contained nameless mode to not have every nested
          pair of parens to be called "params" */
          contains: [
            {
              begin: /\(/,
              end: /\)/,
              keywords: KEYWORDS$1,
              contains: ["self"].concat(EXPRESSIONS2)
            }
          ]
        };
        const CLASS_DEFINITION = {
          variants: [
            { match: [
              /class\s+/,
              JS_IDENT_RE,
              /\s+extends\s+/,
              JS_IDENT_RE
            ] },
            { match: [
              /class\s+/,
              JS_IDENT_RE
            ] }
          ],
          scope: {
            2: "title.class",
            4: "title.class.inherited"
          },
          keywords: KEYWORDS$1
        };
        return {
          name: "CoffeeScript",
          aliases: [
            "coffee",
            "cson",
            "iced"
          ],
          keywords: KEYWORDS$1,
          illegal: /\/\*/,
          contains: [
            ...EXPRESSIONS2,
            hljs.COMMENT("###", "###"),
            hljs.HASH_COMMENT_MODE,
            {
              className: "function",
              begin: "^\\s*" + JS_IDENT_RE + "\\s*=\\s*" + POSSIBLE_PARAMS_RE,
              end: "[-=]>",
              returnBegin: true,
              contains: [
                TITLE,
                PARAMS
              ]
            },
            {
              // anonymous function start
              begin: /[:\(,=]\s*/,
              relevance: 0,
              contains: [
                {
                  className: "function",
                  begin: POSSIBLE_PARAMS_RE,
                  end: "[-=]>",
                  returnBegin: true,
                  contains: [PARAMS]
                }
              ]
            },
            CLASS_DEFINITION,
            {
              begin: JS_IDENT_RE + ":",
              end: ":",
              returnBegin: true,
              returnEnd: true,
              relevance: 0
            }
          ]
        };
      }
      module.exports = coffeescript;
    }
  });

  // node_modules/highlight.js/lib/languages/coq.js
  var require_coq = __commonJS({
    "node_modules/highlight.js/lib/languages/coq.js"(exports, module) {
      function coq(hljs) {
        const KEYWORDS = [
          "_|0",
          "as",
          "at",
          "cofix",
          "else",
          "end",
          "exists",
          "exists2",
          "fix",
          "for",
          "forall",
          "fun",
          "if",
          "IF",
          "in",
          "let",
          "match",
          "mod",
          "Prop",
          "return",
          "Set",
          "then",
          "Type",
          "using",
          "where",
          "with",
          "Abort",
          "About",
          "Add",
          "Admit",
          "Admitted",
          "All",
          "Arguments",
          "Assumptions",
          "Axiom",
          "Back",
          "BackTo",
          "Backtrack",
          "Bind",
          "Blacklist",
          "Canonical",
          "Cd",
          "Check",
          "Class",
          "Classes",
          "Close",
          "Coercion",
          "Coercions",
          "CoFixpoint",
          "CoInductive",
          "Collection",
          "Combined",
          "Compute",
          "Conjecture",
          "Conjectures",
          "Constant",
          "constr",
          "Constraint",
          "Constructors",
          "Context",
          "Corollary",
          "CreateHintDb",
          "Cut",
          "Declare",
          "Defined",
          "Definition",
          "Delimit",
          "Dependencies",
          "Dependent",
          "Derive",
          "Drop",
          "eauto",
          "End",
          "Equality",
          "Eval",
          "Example",
          "Existential",
          "Existentials",
          "Existing",
          "Export",
          "exporting",
          "Extern",
          "Extract",
          "Extraction",
          "Fact",
          "Field",
          "Fields",
          "File",
          "Fixpoint",
          "Focus",
          "for",
          "From",
          "Function",
          "Functional",
          "Generalizable",
          "Global",
          "Goal",
          "Grab",
          "Grammar",
          "Graph",
          "Guarded",
          "Heap",
          "Hint",
          "HintDb",
          "Hints",
          "Hypotheses",
          "Hypothesis",
          "ident",
          "Identity",
          "If",
          "Immediate",
          "Implicit",
          "Import",
          "Include",
          "Inductive",
          "Infix",
          "Info",
          "Initial",
          "Inline",
          "Inspect",
          "Instance",
          "Instances",
          "Intro",
          "Intros",
          "Inversion",
          "Inversion_clear",
          "Language",
          "Left",
          "Lemma",
          "Let",
          "Libraries",
          "Library",
          "Load",
          "LoadPath",
          "Local",
          "Locate",
          "Ltac",
          "ML",
          "Mode",
          "Module",
          "Modules",
          "Monomorphic",
          "Morphism",
          "Next",
          "NoInline",
          "Notation",
          "Obligation",
          "Obligations",
          "Opaque",
          "Open",
          "Optimize",
          "Options",
          "Parameter",
          "Parameters",
          "Parametric",
          "Path",
          "Paths",
          "pattern",
          "Polymorphic",
          "Preterm",
          "Print",
          "Printing",
          "Program",
          "Projections",
          "Proof",
          "Proposition",
          "Pwd",
          "Qed",
          "Quit",
          "Rec",
          "Record",
          "Recursive",
          "Redirect",
          "Relation",
          "Remark",
          "Remove",
          "Require",
          "Reserved",
          "Reset",
          "Resolve",
          "Restart",
          "Rewrite",
          "Right",
          "Ring",
          "Rings",
          "Save",
          "Scheme",
          "Scope",
          "Scopes",
          "Script",
          "Search",
          "SearchAbout",
          "SearchHead",
          "SearchPattern",
          "SearchRewrite",
          "Section",
          "Separate",
          "Set",
          "Setoid",
          "Show",
          "Solve",
          "Sorted",
          "Step",
          "Strategies",
          "Strategy",
          "Structure",
          "SubClass",
          "Table",
          "Tables",
          "Tactic",
          "Term",
          "Test",
          "Theorem",
          "Time",
          "Timeout",
          "Transparent",
          "Type",
          "Typeclasses",
          "Types",
          "Undelimit",
          "Undo",
          "Unfocus",
          "Unfocused",
          "Unfold",
          "Universe",
          "Universes",
          "Unset",
          "Unshelve",
          "using",
          "Variable",
          "Variables",
          "Variant",
          "Verbose",
          "Visibility",
          "where",
          "with"
        ];
        const BUILT_INS = [
          "abstract",
          "absurd",
          "admit",
          "after",
          "apply",
          "as",
          "assert",
          "assumption",
          "at",
          "auto",
          "autorewrite",
          "autounfold",
          "before",
          "bottom",
          "btauto",
          "by",
          "case",
          "case_eq",
          "cbn",
          "cbv",
          "change",
          "classical_left",
          "classical_right",
          "clear",
          "clearbody",
          "cofix",
          "compare",
          "compute",
          "congruence",
          "constr_eq",
          "constructor",
          "contradict",
          "contradiction",
          "cut",
          "cutrewrite",
          "cycle",
          "decide",
          "decompose",
          "dependent",
          "destruct",
          "destruction",
          "dintuition",
          "discriminate",
          "discrR",
          "do",
          "double",
          "dtauto",
          "eapply",
          "eassumption",
          "eauto",
          "ecase",
          "econstructor",
          "edestruct",
          "ediscriminate",
          "eelim",
          "eexact",
          "eexists",
          "einduction",
          "einjection",
          "eleft",
          "elim",
          "elimtype",
          "enough",
          "equality",
          "erewrite",
          "eright",
          "esimplify_eq",
          "esplit",
          "evar",
          "exact",
          "exactly_once",
          "exfalso",
          "exists",
          "f_equal",
          "fail",
          "field",
          "field_simplify",
          "field_simplify_eq",
          "first",
          "firstorder",
          "fix",
          "fold",
          "fourier",
          "functional",
          "generalize",
          "generalizing",
          "gfail",
          "give_up",
          "has_evar",
          "hnf",
          "idtac",
          "in",
          "induction",
          "injection",
          "instantiate",
          "intro",
          "intro_pattern",
          "intros",
          "intuition",
          "inversion",
          "inversion_clear",
          "is_evar",
          "is_var",
          "lapply",
          "lazy",
          "left",
          "lia",
          "lra",
          "move",
          "native_compute",
          "nia",
          "nsatz",
          "omega",
          "once",
          "pattern",
          "pose",
          "progress",
          "proof",
          "psatz",
          "quote",
          "record",
          "red",
          "refine",
          "reflexivity",
          "remember",
          "rename",
          "repeat",
          "replace",
          "revert",
          "revgoals",
          "rewrite",
          "rewrite_strat",
          "right",
          "ring",
          "ring_simplify",
          "rtauto",
          "set",
          "setoid_reflexivity",
          "setoid_replace",
          "setoid_rewrite",
          "setoid_symmetry",
          "setoid_transitivity",
          "shelve",
          "shelve_unifiable",
          "simpl",
          "simple",
          "simplify_eq",
          "solve",
          "specialize",
          "split",
          "split_Rabs",
          "split_Rmult",
          "stepl",
          "stepr",
          "subst",
          "sum",
          "swap",
          "symmetry",
          "tactic",
          "tauto",
          "time",
          "timeout",
          "top",
          "transitivity",
          "trivial",
          "try",
          "tryif",
          "unfold",
          "unify",
          "until",
          "using",
          "vm_compute",
          "with"
        ];
        return {
          name: "Coq",
          keywords: {
            keyword: KEYWORDS,
            built_in: BUILT_INS
          },
          contains: [
            hljs.QUOTE_STRING_MODE,
            hljs.COMMENT("\\(\\*", "\\*\\)"),
            hljs.C_NUMBER_MODE,
            {
              className: "type",
              excludeBegin: true,
              begin: "\\|\\s*",
              end: "\\w+"
            },
            {
              // relevance booster
              begin: /[-=]>/
            }
          ]
        };
      }
      module.exports = coq;
    }
  });

  // node_modules/highlight.js/lib/languages/cos.js
  var require_cos = __commonJS({
    "node_modules/highlight.js/lib/languages/cos.js"(exports, module) {
      function cos(hljs) {
        const STRINGS = {
          className: "string",
          variants: [
            {
              begin: '"',
              end: '"',
              contains: [
                {
                  // escaped
                  begin: '""',
                  relevance: 0
                }
              ]
            }
          ]
        };
        const NUMBERS = {
          className: "number",
          begin: "\\b(\\d+(\\.\\d*)?|\\.\\d+)",
          relevance: 0
        };
        const COS_KEYWORDS = "property parameter class classmethod clientmethod extends as break catch close continue do d|0 else elseif for goto halt hang h|0 if job j|0 kill k|0 lock l|0 merge new open quit q|0 read r|0 return set s|0 tcommit throw trollback try tstart use view while write w|0 xecute x|0 zkill znspace zn ztrap zwrite zw zzdump zzwrite print zbreak zinsert zload zprint zremove zsave zzprint mv mvcall mvcrt mvdim mvprint zquit zsync ascii";
        return {
          name: "Cach\xE9 Object Script",
          case_insensitive: true,
          aliases: ["cls"],
          keywords: COS_KEYWORDS,
          contains: [
            NUMBERS,
            STRINGS,
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            {
              className: "comment",
              begin: /;/,
              end: "$",
              relevance: 0
            },
            {
              // Functions and user-defined functions: write $ztime(60*60*3), $$myFunc(10), $$^Val(1)
              className: "built_in",
              begin: /(?:\$\$?|\.\.)\^?[a-zA-Z]+/
            },
            {
              // Macro command: quit $$$OK
              className: "built_in",
              begin: /\$\$\$[a-zA-Z]+/
            },
            {
              // Special (global) variables: write %request.Content; Built-in classes: %Library.Integer
              className: "built_in",
              begin: /%[a-z]+(?:\.[a-z]+)*/
            },
            {
              // Global variable: set ^globalName = 12 write ^globalName
              className: "symbol",
              begin: /\^%?[a-zA-Z][\w]*/
            },
            {
              // Some control constructions: do ##class(Package.ClassName).Method(), ##super()
              className: "keyword",
              begin: /##class|##super|#define|#dim/
            },
            // sub-languages: are not fully supported by hljs by 11/15/2015
            // left for the future implementation.
            {
              begin: /&sql\(/,
              end: /\)/,
              excludeBegin: true,
              excludeEnd: true,
              subLanguage: "sql"
            },
            {
              begin: /&(js|jscript|javascript)</,
              end: />/,
              excludeBegin: true,
              excludeEnd: true,
              subLanguage: "javascript"
            },
            {
              // this brakes first and last tag, but this is the only way to embed a valid html
              begin: /&html<\s*</,
              end: />\s*>/,
              subLanguage: "xml"
            }
          ]
        };
      }
      module.exports = cos;
    }
  });

  // node_modules/highlight.js/lib/languages/cpp.js
  var require_cpp = __commonJS({
    "node_modules/highlight.js/lib/languages/cpp.js"(exports, module) {
      function cpp(hljs) {
        const regex = hljs.regex;
        const C_LINE_COMMENT_MODE = hljs.COMMENT("//", "$", { contains: [{ begin: /\\\n/ }] });
        const DECLTYPE_AUTO_RE = "decltype\\(auto\\)";
        const NAMESPACE_RE = "[a-zA-Z_]\\w*::";
        const TEMPLATE_ARGUMENT_RE = "<[^<>]+>";
        const FUNCTION_TYPE_RE = "(?!struct)(" + DECLTYPE_AUTO_RE + "|" + regex.optional(NAMESPACE_RE) + "[a-zA-Z_]\\w*" + regex.optional(TEMPLATE_ARGUMENT_RE) + ")";
        const CPP_PRIMITIVE_TYPES = {
          className: "type",
          begin: "\\b[a-z\\d_]*_t\\b"
        };
        const CHARACTER_ESCAPES = "\\\\(x[0-9A-Fa-f]{2}|u[0-9A-Fa-f]{4,8}|[0-7]{3}|\\S)";
        const STRINGS = {
          className: "string",
          variants: [
            {
              begin: '(u8?|U|L)?"',
              end: '"',
              illegal: "\\n",
              contains: [hljs.BACKSLASH_ESCAPE]
            },
            {
              begin: "(u8?|U|L)?'(" + CHARACTER_ESCAPES + "|.)",
              end: "'",
              illegal: "."
            },
            hljs.END_SAME_AS_BEGIN({
              begin: /(?:u8?|U|L)?R"([^()\\ ]{0,16})\(/,
              end: /\)([^()\\ ]{0,16})"/
            })
          ]
        };
        const NUMBERS = {
          className: "number",
          variants: [
            // Floating-point literal.
            {
              begin: "[+-]?(?:(?:[0-9](?:'?[0-9])*\\.(?:[0-9](?:'?[0-9])*)?|\\.[0-9](?:'?[0-9])*)(?:[Ee][+-]?[0-9](?:'?[0-9])*)?|[0-9](?:'?[0-9])*[Ee][+-]?[0-9](?:'?[0-9])*|0[Xx](?:[0-9A-Fa-f](?:'?[0-9A-Fa-f])*(?:\\.(?:[0-9A-Fa-f](?:'?[0-9A-Fa-f])*)?)?|\\.[0-9A-Fa-f](?:'?[0-9A-Fa-f])*)[Pp][+-]?[0-9](?:'?[0-9])*)(?:[Ff](?:16|32|64|128)?|(BF|bf)16|[Ll]|)"
            },
            // Integer literal.
            {
              begin: "[+-]?\\b(?:0[Bb][01](?:'?[01])*|0[Xx][0-9A-Fa-f](?:'?[0-9A-Fa-f])*|0(?:'?[0-7])*|[1-9](?:'?[0-9])*)(?:[Uu](?:LL?|ll?)|[Uu][Zz]?|(?:LL?|ll?)[Uu]?|[Zz][Uu]|)"
              // Note: there are user-defined literal suffixes too, but perhaps having the custom suffix not part of the
              // literal highlight actually makes it stand out more.
            }
          ],
          relevance: 0
        };
        const PREPROCESSOR = {
          className: "meta",
          begin: /#\s*[a-z]+\b/,
          end: /$/,
          keywords: { keyword: "if else elif endif define undef warning error line pragma _Pragma ifdef ifndef include" },
          contains: [
            {
              begin: /\\\n/,
              relevance: 0
            },
            hljs.inherit(STRINGS, { className: "string" }),
            {
              className: "string",
              begin: /<.*?>/
            },
            C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE
          ]
        };
        const TITLE_MODE = {
          className: "title",
          begin: regex.optional(NAMESPACE_RE) + hljs.IDENT_RE,
          relevance: 0
        };
        const FUNCTION_TITLE = regex.optional(NAMESPACE_RE) + hljs.IDENT_RE + "\\s*\\(";
        const RESERVED_KEYWORDS = [
          "alignas",
          "alignof",
          "and",
          "and_eq",
          "asm",
          "atomic_cancel",
          "atomic_commit",
          "atomic_noexcept",
          "auto",
          "bitand",
          "bitor",
          "break",
          "case",
          "catch",
          "class",
          "co_await",
          "co_return",
          "co_yield",
          "compl",
          "concept",
          "const_cast|10",
          "consteval",
          "constexpr",
          "constinit",
          "continue",
          "decltype",
          "default",
          "delete",
          "do",
          "dynamic_cast|10",
          "else",
          "enum",
          "explicit",
          "export",
          "extern",
          "false",
          "final",
          "for",
          "friend",
          "goto",
          "if",
          "import",
          "inline",
          "module",
          "mutable",
          "namespace",
          "new",
          "noexcept",
          "not",
          "not_eq",
          "nullptr",
          "operator",
          "or",
          "or_eq",
          "override",
          "private",
          "protected",
          "public",
          "reflexpr",
          "register",
          "reinterpret_cast|10",
          "requires",
          "return",
          "sizeof",
          "static_assert",
          "static_cast|10",
          "struct",
          "switch",
          "synchronized",
          "template",
          "this",
          "thread_local",
          "throw",
          "transaction_safe",
          "transaction_safe_dynamic",
          "true",
          "try",
          "typedef",
          "typeid",
          "typename",
          "union",
          "using",
          "virtual",
          "volatile",
          "while",
          "xor",
          "xor_eq"
        ];
        const RESERVED_TYPES = [
          "bool",
          "char",
          "char16_t",
          "char32_t",
          "char8_t",
          "double",
          "float",
          "int",
          "long",
          "short",
          "void",
          "wchar_t",
          "unsigned",
          "signed",
          "const",
          "static"
        ];
        const TYPE_HINTS = [
          "any",
          "auto_ptr",
          "barrier",
          "binary_semaphore",
          "bitset",
          "complex",
          "condition_variable",
          "condition_variable_any",
          "counting_semaphore",
          "deque",
          "false_type",
          "flat_map",
          "flat_set",
          "future",
          "imaginary",
          "initializer_list",
          "istringstream",
          "jthread",
          "latch",
          "lock_guard",
          "multimap",
          "multiset",
          "mutex",
          "optional",
          "ostringstream",
          "packaged_task",
          "pair",
          "promise",
          "priority_queue",
          "queue",
          "recursive_mutex",
          "recursive_timed_mutex",
          "scoped_lock",
          "set",
          "shared_future",
          "shared_lock",
          "shared_mutex",
          "shared_timed_mutex",
          "shared_ptr",
          "stack",
          "string_view",
          "stringstream",
          "timed_mutex",
          "thread",
          "true_type",
          "tuple",
          "unique_lock",
          "unique_ptr",
          "unordered_map",
          "unordered_multimap",
          "unordered_multiset",
          "unordered_set",
          "variant",
          "vector",
          "weak_ptr",
          "wstring",
          "wstring_view"
        ];
        const FUNCTION_HINTS = [
          "abort",
          "abs",
          "acos",
          "apply",
          "as_const",
          "asin",
          "atan",
          "atan2",
          "calloc",
          "ceil",
          "cerr",
          "cin",
          "clog",
          "cos",
          "cosh",
          "cout",
          "declval",
          "endl",
          "exchange",
          "exit",
          "exp",
          "fabs",
          "floor",
          "fmod",
          "forward",
          "fprintf",
          "fputs",
          "free",
          "frexp",
          "fscanf",
          "future",
          "invoke",
          "isalnum",
          "isalpha",
          "iscntrl",
          "isdigit",
          "isgraph",
          "islower",
          "isprint",
          "ispunct",
          "isspace",
          "isupper",
          "isxdigit",
          "labs",
          "launder",
          "ldexp",
          "log",
          "log10",
          "make_pair",
          "make_shared",
          "make_shared_for_overwrite",
          "make_tuple",
          "make_unique",
          "malloc",
          "memchr",
          "memcmp",
          "memcpy",
          "memset",
          "modf",
          "move",
          "pow",
          "printf",
          "putchar",
          "puts",
          "realloc",
          "scanf",
          "sin",
          "sinh",
          "snprintf",
          "sprintf",
          "sqrt",
          "sscanf",
          "std",
          "stderr",
          "stdin",
          "stdout",
          "strcat",
          "strchr",
          "strcmp",
          "strcpy",
          "strcspn",
          "strlen",
          "strncat",
          "strncmp",
          "strncpy",
          "strpbrk",
          "strrchr",
          "strspn",
          "strstr",
          "swap",
          "tan",
          "tanh",
          "terminate",
          "to_underlying",
          "tolower",
          "toupper",
          "vfprintf",
          "visit",
          "vprintf",
          "vsprintf"
        ];
        const LITERALS = [
          "NULL",
          "false",
          "nullopt",
          "nullptr",
          "true"
        ];
        const BUILT_IN = ["_Pragma"];
        const CPP_KEYWORDS = {
          type: RESERVED_TYPES,
          keyword: RESERVED_KEYWORDS,
          literal: LITERALS,
          built_in: BUILT_IN,
          _type_hints: TYPE_HINTS
        };
        const FUNCTION_DISPATCH = {
          className: "function.dispatch",
          relevance: 0,
          keywords: {
            // Only for relevance, not highlighting.
            _hint: FUNCTION_HINTS
          },
          begin: regex.concat(
            /\b/,
            /(?!decltype)/,
            /(?!if)/,
            /(?!for)/,
            /(?!switch)/,
            /(?!while)/,
            hljs.IDENT_RE,
            regex.lookahead(/(<[^<>]+>|)\s*\(/)
          )
        };
        const EXPRESSION_CONTAINS = [
          FUNCTION_DISPATCH,
          PREPROCESSOR,
          CPP_PRIMITIVE_TYPES,
          C_LINE_COMMENT_MODE,
          hljs.C_BLOCK_COMMENT_MODE,
          NUMBERS,
          STRINGS
        ];
        const EXPRESSION_CONTEXT = {
          // This mode covers expression context where we can't expect a function
          // definition and shouldn't highlight anything that looks like one:
          // `return some()`, `else if()`, `(x*sum(1, 2))`
          variants: [
            {
              begin: /=/,
              end: /;/
            },
            {
              begin: /\(/,
              end: /\)/
            },
            {
              beginKeywords: "new throw return else",
              end: /;/
            }
          ],
          keywords: CPP_KEYWORDS,
          contains: EXPRESSION_CONTAINS.concat([
            {
              begin: /\(/,
              end: /\)/,
              keywords: CPP_KEYWORDS,
              contains: EXPRESSION_CONTAINS.concat(["self"]),
              relevance: 0
            }
          ]),
          relevance: 0
        };
        const FUNCTION_DECLARATION = {
          className: "function",
          begin: "(" + FUNCTION_TYPE_RE + "[\\*&\\s]+)+" + FUNCTION_TITLE,
          returnBegin: true,
          end: /[{;=]/,
          excludeEnd: true,
          keywords: CPP_KEYWORDS,
          illegal: /[^\w\s\*&:<>.]/,
          contains: [
            {
              // to prevent it from being confused as the function title
              begin: DECLTYPE_AUTO_RE,
              keywords: CPP_KEYWORDS,
              relevance: 0
            },
            {
              begin: FUNCTION_TITLE,
              returnBegin: true,
              contains: [TITLE_MODE],
              relevance: 0
            },
            // needed because we do not have look-behind on the below rule
            // to prevent it from grabbing the final : in a :: pair
            {
              begin: /::/,
              relevance: 0
            },
            // initializers
            {
              begin: /:/,
              endsWithParent: true,
              contains: [
                STRINGS,
                NUMBERS
              ]
            },
            // allow for multiple declarations, e.g.:
            // extern void f(int), g(char);
            {
              relevance: 0,
              match: /,/
            },
            {
              className: "params",
              begin: /\(/,
              end: /\)/,
              keywords: CPP_KEYWORDS,
              relevance: 0,
              contains: [
                C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE,
                STRINGS,
                NUMBERS,
                CPP_PRIMITIVE_TYPES,
                // Count matching parentheses.
                {
                  begin: /\(/,
                  end: /\)/,
                  keywords: CPP_KEYWORDS,
                  relevance: 0,
                  contains: [
                    "self",
                    C_LINE_COMMENT_MODE,
                    hljs.C_BLOCK_COMMENT_MODE,
                    STRINGS,
                    NUMBERS,
                    CPP_PRIMITIVE_TYPES
                  ]
                }
              ]
            },
            CPP_PRIMITIVE_TYPES,
            C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            PREPROCESSOR
          ]
        };
        return {
          name: "C++",
          aliases: [
            "cc",
            "c++",
            "h++",
            "hpp",
            "hh",
            "hxx",
            "cxx"
          ],
          keywords: CPP_KEYWORDS,
          illegal: "</",
          classNameAliases: { "function.dispatch": "built_in" },
          contains: [].concat(
            EXPRESSION_CONTEXT,
            FUNCTION_DECLARATION,
            FUNCTION_DISPATCH,
            EXPRESSION_CONTAINS,
            [
              PREPROCESSOR,
              {
                // containers: ie, `vector <int> rooms (9);`
                begin: "\\b(deque|list|queue|priority_queue|pair|stack|vector|map|set|bitset|multiset|multimap|unordered_map|unordered_set|unordered_multiset|unordered_multimap|array|tuple|optional|variant|function|flat_map|flat_set)\\s*<(?!<)",
                end: ">",
                keywords: CPP_KEYWORDS,
                contains: [
                  "self",
                  CPP_PRIMITIVE_TYPES
                ]
              },
              {
                begin: hljs.IDENT_RE + "::",
                keywords: CPP_KEYWORDS
              },
              {
                match: [
                  // extra complexity to deal with `enum class` and `enum struct`
                  /\b(?:enum(?:\s+(?:class|struct))?|class|struct|union)/,
                  /\s+/,
                  /\w+/
                ],
                className: {
                  1: "keyword",
                  3: "title.class"
                }
              }
            ]
          )
        };
      }
      module.exports = cpp;
    }
  });

  // node_modules/highlight.js/lib/languages/crmsh.js
  var require_crmsh = __commonJS({
    "node_modules/highlight.js/lib/languages/crmsh.js"(exports, module) {
      function crmsh(hljs) {
        const RESOURCES = "primitive rsc_template";
        const COMMANDS = "group clone ms master location colocation order fencing_topology rsc_ticket acl_target acl_group user role tag xml";
        const PROPERTY_SETS = "property rsc_defaults op_defaults";
        const KEYWORDS = "params meta operations op rule attributes utilization";
        const OPERATORS = "read write deny defined not_defined in_range date spec in ref reference attribute type xpath version and or lt gt tag lte gte eq ne \\";
        const TYPES = "number string";
        const LITERALS = "Master Started Slave Stopped start promote demote stop monitor true false";
        return {
          name: "crmsh",
          aliases: [
            "crm",
            "pcmk"
          ],
          case_insensitive: true,
          keywords: {
            keyword: KEYWORDS + " " + OPERATORS + " " + TYPES,
            literal: LITERALS
          },
          contains: [
            hljs.HASH_COMMENT_MODE,
            {
              beginKeywords: "node",
              starts: {
                end: "\\s*([\\w_-]+:)?",
                starts: {
                  className: "title",
                  end: "\\s*[\\$\\w_][\\w_-]*"
                }
              }
            },
            {
              beginKeywords: RESOURCES,
              starts: {
                className: "title",
                end: "\\s*[\\$\\w_][\\w_-]*",
                starts: { end: "\\s*@?[\\w_][\\w_\\.:-]*" }
              }
            },
            {
              begin: "\\b(" + COMMANDS.split(" ").join("|") + ")\\s+",
              keywords: COMMANDS,
              starts: {
                className: "title",
                end: "[\\$\\w_][\\w_-]*"
              }
            },
            {
              beginKeywords: PROPERTY_SETS,
              starts: {
                className: "title",
                end: "\\s*([\\w_-]+:)?"
              }
            },
            hljs.QUOTE_STRING_MODE,
            {
              className: "meta",
              begin: "(ocf|systemd|service|lsb):[\\w_:-]+",
              relevance: 0
            },
            {
              className: "number",
              begin: "\\b\\d+(\\.\\d+)?(ms|s|h|m)?",
              relevance: 0
            },
            {
              className: "literal",
              begin: "[-]?(infinity|inf)",
              relevance: 0
            },
            {
              className: "attr",
              begin: /([A-Za-z$_#][\w_-]+)=/,
              relevance: 0
            },
            {
              className: "tag",
              begin: "</?",
              end: "/?>",
              relevance: 0
            }
          ]
        };
      }
      module.exports = crmsh;
    }
  });

  // node_modules/highlight.js/lib/languages/crystal.js
  var require_crystal = __commonJS({
    "node_modules/highlight.js/lib/languages/crystal.js"(exports, module) {
      function crystal(hljs) {
        const INT_SUFFIX = "(_?[ui](8|16|32|64|128))?";
        const FLOAT_SUFFIX = "(_?f(32|64))?";
        const CRYSTAL_IDENT_RE = "[a-zA-Z_]\\w*[!?=]?";
        const CRYSTAL_METHOD_RE = "[a-zA-Z_]\\w*[!?=]?|[-+~]@|<<|>>|[=!]~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~|]|//|//=|&[-+*]=?|&\\*\\*|\\[\\][=?]?";
        const CRYSTAL_PATH_RE = "[A-Za-z_]\\w*(::\\w+)*(\\?|!)?";
        const CRYSTAL_KEYWORDS = {
          $pattern: CRYSTAL_IDENT_RE,
          keyword: "abstract alias annotation as as? asm begin break case class def do else elsif end ensure enum extend for fun if include instance_sizeof is_a? lib macro module next nil? of out pointerof private protected rescue responds_to? return require select self sizeof struct super then type typeof union uninitialized unless until verbatim when while with yield __DIR__ __END_LINE__ __FILE__ __LINE__",
          literal: "false nil true"
        };
        const SUBST = {
          className: "subst",
          begin: /#\{/,
          end: /\}/,
          keywords: CRYSTAL_KEYWORDS
        };
        const VARIABLE = {
          // negative-look forward attemps to prevent false matches like:
          // @ident@ or $ident$ that might indicate this is not ruby at all
          className: "variable",
          begin: `(\\$\\W)|((\\$|@@?)(\\w+))(?=[^@$?])(?![A-Za-z])(?![@$?'])`
        };
        const EXPANSION = {
          className: "template-variable",
          variants: [
            {
              begin: "\\{\\{",
              end: "\\}\\}"
            },
            {
              begin: "\\{%",
              end: "%\\}"
            }
          ],
          keywords: CRYSTAL_KEYWORDS
        };
        function recursiveParen(begin, end) {
          const contains = [
            {
              begin,
              end
            }
          ];
          contains[0].contains = contains;
          return contains;
        }
        const STRING = {
          className: "string",
          contains: [
            hljs.BACKSLASH_ESCAPE,
            SUBST
          ],
          variants: [
            {
              begin: /'/,
              end: /'/
            },
            {
              begin: /"/,
              end: /"/
            },
            {
              begin: /`/,
              end: /`/
            },
            {
              begin: "%[Qwi]?\\(",
              end: "\\)",
              contains: recursiveParen("\\(", "\\)")
            },
            {
              begin: "%[Qwi]?\\[",
              end: "\\]",
              contains: recursiveParen("\\[", "\\]")
            },
            {
              begin: "%[Qwi]?\\{",
              end: /\}/,
              contains: recursiveParen(/\{/, /\}/)
            },
            {
              begin: "%[Qwi]?<",
              end: ">",
              contains: recursiveParen("<", ">")
            },
            {
              begin: "%[Qwi]?\\|",
              end: "\\|"
            },
            {
              begin: /<<-\w+$/,
              end: /^\s*\w+$/
            }
          ],
          relevance: 0
        };
        const Q_STRING = {
          className: "string",
          variants: [
            {
              begin: "%q\\(",
              end: "\\)",
              contains: recursiveParen("\\(", "\\)")
            },
            {
              begin: "%q\\[",
              end: "\\]",
              contains: recursiveParen("\\[", "\\]")
            },
            {
              begin: "%q\\{",
              end: /\}/,
              contains: recursiveParen(/\{/, /\}/)
            },
            {
              begin: "%q<",
              end: ">",
              contains: recursiveParen("<", ">")
            },
            {
              begin: "%q\\|",
              end: "\\|"
            },
            {
              begin: /<<-'\w+'$/,
              end: /^\s*\w+$/
            }
          ],
          relevance: 0
        };
        const REGEXP = {
          begin: "(?!%\\})(" + hljs.RE_STARTERS_RE + "|\\n|\\b(case|if|select|unless|until|when|while)\\b)\\s*",
          keywords: "case if select unless until when while",
          contains: [
            {
              className: "regexp",
              contains: [
                hljs.BACKSLASH_ESCAPE,
                SUBST
              ],
              variants: [
                {
                  begin: "//[a-z]*",
                  relevance: 0
                },
                {
                  begin: "/(?!\\/)",
                  end: "/[a-z]*"
                }
              ]
            }
          ],
          relevance: 0
        };
        const REGEXP2 = {
          className: "regexp",
          contains: [
            hljs.BACKSLASH_ESCAPE,
            SUBST
          ],
          variants: [
            {
              begin: "%r\\(",
              end: "\\)",
              contains: recursiveParen("\\(", "\\)")
            },
            {
              begin: "%r\\[",
              end: "\\]",
              contains: recursiveParen("\\[", "\\]")
            },
            {
              begin: "%r\\{",
              end: /\}/,
              contains: recursiveParen(/\{/, /\}/)
            },
            {
              begin: "%r<",
              end: ">",
              contains: recursiveParen("<", ">")
            },
            {
              begin: "%r\\|",
              end: "\\|"
            }
          ],
          relevance: 0
        };
        const ATTRIBUTE = {
          className: "meta",
          begin: "@\\[",
          end: "\\]",
          contains: [hljs.inherit(hljs.QUOTE_STRING_MODE, { className: "string" })]
        };
        const CRYSTAL_DEFAULT_CONTAINS = [
          EXPANSION,
          STRING,
          Q_STRING,
          REGEXP2,
          REGEXP,
          ATTRIBUTE,
          VARIABLE,
          hljs.HASH_COMMENT_MODE,
          {
            className: "class",
            beginKeywords: "class module struct",
            end: "$|;",
            illegal: /=/,
            contains: [
              hljs.HASH_COMMENT_MODE,
              hljs.inherit(hljs.TITLE_MODE, { begin: CRYSTAL_PATH_RE }),
              {
                // relevance booster for inheritance
                begin: "<"
              }
            ]
          },
          {
            className: "class",
            beginKeywords: "lib enum union",
            end: "$|;",
            illegal: /=/,
            contains: [
              hljs.HASH_COMMENT_MODE,
              hljs.inherit(hljs.TITLE_MODE, { begin: CRYSTAL_PATH_RE })
            ]
          },
          {
            beginKeywords: "annotation",
            end: "$|;",
            illegal: /=/,
            contains: [
              hljs.HASH_COMMENT_MODE,
              hljs.inherit(hljs.TITLE_MODE, { begin: CRYSTAL_PATH_RE })
            ],
            relevance: 2
          },
          {
            className: "function",
            beginKeywords: "def",
            end: /\B\b/,
            contains: [
              hljs.inherit(hljs.TITLE_MODE, {
                begin: CRYSTAL_METHOD_RE,
                endsParent: true
              })
            ]
          },
          {
            className: "function",
            beginKeywords: "fun macro",
            end: /\B\b/,
            contains: [
              hljs.inherit(hljs.TITLE_MODE, {
                begin: CRYSTAL_METHOD_RE,
                endsParent: true
              })
            ],
            relevance: 2
          },
          {
            className: "symbol",
            begin: hljs.UNDERSCORE_IDENT_RE + "(!|\\?)?:",
            relevance: 0
          },
          {
            className: "symbol",
            begin: ":",
            contains: [
              STRING,
              { begin: CRYSTAL_METHOD_RE }
            ],
            relevance: 0
          },
          {
            className: "number",
            variants: [
              { begin: "\\b0b([01_]+)" + INT_SUFFIX },
              { begin: "\\b0o([0-7_]+)" + INT_SUFFIX },
              { begin: "\\b0x([A-Fa-f0-9_]+)" + INT_SUFFIX },
              { begin: "\\b([1-9][0-9_]*[0-9]|[0-9])(\\.[0-9][0-9_]*)?([eE]_?[-+]?[0-9_]*)?" + FLOAT_SUFFIX + "(?!_)" },
              { begin: "\\b([1-9][0-9_]*|0)" + INT_SUFFIX }
            ],
            relevance: 0
          }
        ];
        SUBST.contains = CRYSTAL_DEFAULT_CONTAINS;
        EXPANSION.contains = CRYSTAL_DEFAULT_CONTAINS.slice(1);
        return {
          name: "Crystal",
          aliases: ["cr"],
          keywords: CRYSTAL_KEYWORDS,
          contains: CRYSTAL_DEFAULT_CONTAINS
        };
      }
      module.exports = crystal;
    }
  });

  // node_modules/highlight.js/lib/languages/csharp.js
  var require_csharp = __commonJS({
    "node_modules/highlight.js/lib/languages/csharp.js"(exports, module) {
      function csharp(hljs) {
        const BUILT_IN_KEYWORDS = [
          "bool",
          "byte",
          "char",
          "decimal",
          "delegate",
          "double",
          "dynamic",
          "enum",
          "float",
          "int",
          "long",
          "nint",
          "nuint",
          "object",
          "sbyte",
          "short",
          "string",
          "ulong",
          "uint",
          "ushort"
        ];
        const FUNCTION_MODIFIERS = [
          "public",
          "private",
          "protected",
          "static",
          "internal",
          "protected",
          "abstract",
          "async",
          "extern",
          "override",
          "unsafe",
          "virtual",
          "new",
          "sealed",
          "partial"
        ];
        const LITERAL_KEYWORDS = [
          "default",
          "false",
          "null",
          "true"
        ];
        const NORMAL_KEYWORDS = [
          "abstract",
          "as",
          "base",
          "break",
          "case",
          "catch",
          "class",
          "const",
          "continue",
          "do",
          "else",
          "event",
          "explicit",
          "extern",
          "finally",
          "fixed",
          "for",
          "foreach",
          "goto",
          "if",
          "implicit",
          "in",
          "interface",
          "internal",
          "is",
          "lock",
          "namespace",
          "new",
          "operator",
          "out",
          "override",
          "params",
          "private",
          "protected",
          "public",
          "readonly",
          "record",
          "ref",
          "return",
          "scoped",
          "sealed",
          "sizeof",
          "stackalloc",
          "static",
          "struct",
          "switch",
          "this",
          "throw",
          "try",
          "typeof",
          "unchecked",
          "unsafe",
          "using",
          "virtual",
          "void",
          "volatile",
          "while"
        ];
        const CONTEXTUAL_KEYWORDS = [
          "add",
          "alias",
          "and",
          "ascending",
          "args",
          "async",
          "await",
          "by",
          "descending",
          "dynamic",
          "equals",
          "file",
          "from",
          "get",
          "global",
          "group",
          "init",
          "into",
          "join",
          "let",
          "nameof",
          "not",
          "notnull",
          "on",
          "or",
          "orderby",
          "partial",
          "record",
          "remove",
          "required",
          "scoped",
          "select",
          "set",
          "unmanaged",
          "value|0",
          "var",
          "when",
          "where",
          "with",
          "yield"
        ];
        const KEYWORDS = {
          keyword: NORMAL_KEYWORDS.concat(CONTEXTUAL_KEYWORDS),
          built_in: BUILT_IN_KEYWORDS,
          literal: LITERAL_KEYWORDS
        };
        const TITLE_MODE = hljs.inherit(hljs.TITLE_MODE, { begin: "[a-zA-Z](\\.?\\w)*" });
        const NUMBERS = {
          className: "number",
          variants: [
            { begin: "\\b(0b[01']+)" },
            { begin: "(-?)\\b([\\d']+(\\.[\\d']*)?|\\.[\\d']+)(u|U|l|L|ul|UL|f|F|b|B)" },
            { begin: "(-?)(\\b0[xX][a-fA-F0-9']+|(\\b[\\d']+(\\.[\\d']*)?|\\.[\\d']+)([eE][-+]?[\\d']+)?)" }
          ],
          relevance: 0
        };
        const RAW_STRING = {
          className: "string",
          begin: /"""("*)(?!")(.|\n)*?"""\1/,
          relevance: 1
        };
        const VERBATIM_STRING = {
          className: "string",
          begin: '@"',
          end: '"',
          contains: [{ begin: '""' }]
        };
        const VERBATIM_STRING_NO_LF = hljs.inherit(VERBATIM_STRING, { illegal: /\n/ });
        const SUBST = {
          className: "subst",
          begin: /\{/,
          end: /\}/,
          keywords: KEYWORDS
        };
        const SUBST_NO_LF = hljs.inherit(SUBST, { illegal: /\n/ });
        const INTERPOLATED_STRING = {
          className: "string",
          begin: /\$"/,
          end: '"',
          illegal: /\n/,
          contains: [
            { begin: /\{\{/ },
            { begin: /\}\}/ },
            hljs.BACKSLASH_ESCAPE,
            SUBST_NO_LF
          ]
        };
        const INTERPOLATED_VERBATIM_STRING = {
          className: "string",
          begin: /\$@"/,
          end: '"',
          contains: [
            { begin: /\{\{/ },
            { begin: /\}\}/ },
            { begin: '""' },
            SUBST
          ]
        };
        const INTERPOLATED_VERBATIM_STRING_NO_LF = hljs.inherit(INTERPOLATED_VERBATIM_STRING, {
          illegal: /\n/,
          contains: [
            { begin: /\{\{/ },
            { begin: /\}\}/ },
            { begin: '""' },
            SUBST_NO_LF
          ]
        });
        SUBST.contains = [
          INTERPOLATED_VERBATIM_STRING,
          INTERPOLATED_STRING,
          VERBATIM_STRING,
          hljs.APOS_STRING_MODE,
          hljs.QUOTE_STRING_MODE,
          NUMBERS,
          hljs.C_BLOCK_COMMENT_MODE
        ];
        SUBST_NO_LF.contains = [
          INTERPOLATED_VERBATIM_STRING_NO_LF,
          INTERPOLATED_STRING,
          VERBATIM_STRING_NO_LF,
          hljs.APOS_STRING_MODE,
          hljs.QUOTE_STRING_MODE,
          NUMBERS,
          hljs.inherit(hljs.C_BLOCK_COMMENT_MODE, { illegal: /\n/ })
        ];
        const STRING = { variants: [
          RAW_STRING,
          INTERPOLATED_VERBATIM_STRING,
          INTERPOLATED_STRING,
          VERBATIM_STRING,
          hljs.APOS_STRING_MODE,
          hljs.QUOTE_STRING_MODE
        ] };
        const GENERIC_MODIFIER = {
          begin: "<",
          end: ">",
          contains: [
            { beginKeywords: "in out" },
            TITLE_MODE
          ]
        };
        const TYPE_IDENT_RE = hljs.IDENT_RE + "(<" + hljs.IDENT_RE + "(\\s*,\\s*" + hljs.IDENT_RE + ")*>)?(\\[\\])?";
        const AT_IDENTIFIER = {
          // prevents expressions like `@class` from incorrect flagging
          // `class` as a keyword
          begin: "@" + hljs.IDENT_RE,
          relevance: 0
        };
        return {
          name: "C#",
          aliases: [
            "cs",
            "c#"
          ],
          keywords: KEYWORDS,
          illegal: /::/,
          contains: [
            hljs.COMMENT(
              "///",
              "$",
              {
                returnBegin: true,
                contains: [
                  {
                    className: "doctag",
                    variants: [
                      {
                        begin: "///",
                        relevance: 0
                      },
                      { begin: "<!--|-->" },
                      {
                        begin: "</?",
                        end: ">"
                      }
                    ]
                  }
                ]
              }
            ),
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            {
              className: "meta",
              begin: "#",
              end: "$",
              keywords: { keyword: "if else elif endif define undef warning error line region endregion pragma checksum" }
            },
            STRING,
            NUMBERS,
            {
              beginKeywords: "class interface",
              relevance: 0,
              end: /[{;=]/,
              illegal: /[^\s:,]/,
              contains: [
                { beginKeywords: "where class" },
                TITLE_MODE,
                GENERIC_MODIFIER,
                hljs.C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE
              ]
            },
            {
              beginKeywords: "namespace",
              relevance: 0,
              end: /[{;=]/,
              illegal: /[^\s:]/,
              contains: [
                TITLE_MODE,
                hljs.C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE
              ]
            },
            {
              beginKeywords: "record",
              relevance: 0,
              end: /[{;=]/,
              illegal: /[^\s:]/,
              contains: [
                TITLE_MODE,
                GENERIC_MODIFIER,
                hljs.C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE
              ]
            },
            {
              // [Attributes("")]
              className: "meta",
              begin: "^\\s*\\[(?=[\\w])",
              excludeBegin: true,
              end: "\\]",
              excludeEnd: true,
              contains: [
                {
                  className: "string",
                  begin: /"/,
                  end: /"/
                }
              ]
            },
            {
              // Expression keywords prevent 'keyword Name(...)' from being
              // recognized as a function definition
              beginKeywords: "new return throw await else",
              relevance: 0
            },
            {
              className: "function",
              begin: "(" + TYPE_IDENT_RE + "\\s+)+" + hljs.IDENT_RE + "\\s*(<[^=]+>\\s*)?\\(",
              returnBegin: true,
              end: /\s*[{;=]/,
              excludeEnd: true,
              keywords: KEYWORDS,
              contains: [
                // prevents these from being highlighted `title`
                {
                  beginKeywords: FUNCTION_MODIFIERS.join(" "),
                  relevance: 0
                },
                {
                  begin: hljs.IDENT_RE + "\\s*(<[^=]+>\\s*)?\\(",
                  returnBegin: true,
                  contains: [
                    hljs.TITLE_MODE,
                    GENERIC_MODIFIER
                  ],
                  relevance: 0
                },
                { match: /\(\)/ },
                {
                  className: "params",
                  begin: /\(/,
                  end: /\)/,
                  excludeBegin: true,
                  excludeEnd: true,
                  keywords: KEYWORDS,
                  relevance: 0,
                  contains: [
                    STRING,
                    NUMBERS,
                    hljs.C_BLOCK_COMMENT_MODE
                  ]
                },
                hljs.C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE
              ]
            },
            AT_IDENTIFIER
          ]
        };
      }
      module.exports = csharp;
    }
  });

  // node_modules/highlight.js/lib/languages/csp.js
  var require_csp = __commonJS({
    "node_modules/highlight.js/lib/languages/csp.js"(exports, module) {
      function csp(hljs) {
        const KEYWORDS = [
          "base-uri",
          "child-src",
          "connect-src",
          "default-src",
          "font-src",
          "form-action",
          "frame-ancestors",
          "frame-src",
          "img-src",
          "manifest-src",
          "media-src",
          "object-src",
          "plugin-types",
          "report-uri",
          "sandbox",
          "script-src",
          "style-src",
          "trusted-types",
          "unsafe-hashes",
          "worker-src"
        ];
        return {
          name: "CSP",
          case_insensitive: false,
          keywords: {
            $pattern: "[a-zA-Z][a-zA-Z0-9_-]*",
            keyword: KEYWORDS
          },
          contains: [
            {
              className: "string",
              begin: "'",
              end: "'"
            },
            {
              className: "attribute",
              begin: "^Content",
              end: ":",
              excludeEnd: true
            }
          ]
        };
      }
      module.exports = csp;
    }
  });

  // node_modules/highlight.js/lib/languages/css.js
  var require_css = __commonJS({
    "node_modules/highlight.js/lib/languages/css.js"(exports, module) {
      var MODES = (hljs) => {
        return {
          IMPORTANT: {
            scope: "meta",
            begin: "!important"
          },
          BLOCK_COMMENT: hljs.C_BLOCK_COMMENT_MODE,
          HEXCOLOR: {
            scope: "number",
            begin: /#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/
          },
          FUNCTION_DISPATCH: {
            className: "built_in",
            begin: /[\w-]+(?=\()/
          },
          ATTRIBUTE_SELECTOR_MODE: {
            scope: "selector-attr",
            begin: /\[/,
            end: /\]/,
            illegal: "$",
            contains: [
              hljs.APOS_STRING_MODE,
              hljs.QUOTE_STRING_MODE
            ]
          },
          CSS_NUMBER_MODE: {
            scope: "number",
            begin: hljs.NUMBER_RE + "(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",
            relevance: 0
          },
          CSS_VARIABLE: {
            className: "attr",
            begin: /--[A-Za-z_][A-Za-z0-9_-]*/
          }
        };
      };
      var HTML_TAGS = [
        "a",
        "abbr",
        "address",
        "article",
        "aside",
        "audio",
        "b",
        "blockquote",
        "body",
        "button",
        "canvas",
        "caption",
        "cite",
        "code",
        "dd",
        "del",
        "details",
        "dfn",
        "div",
        "dl",
        "dt",
        "em",
        "fieldset",
        "figcaption",
        "figure",
        "footer",
        "form",
        "h1",
        "h2",
        "h3",
        "h4",
        "h5",
        "h6",
        "header",
        "hgroup",
        "html",
        "i",
        "iframe",
        "img",
        "input",
        "ins",
        "kbd",
        "label",
        "legend",
        "li",
        "main",
        "mark",
        "menu",
        "nav",
        "object",
        "ol",
        "optgroup",
        "option",
        "p",
        "picture",
        "q",
        "quote",
        "samp",
        "section",
        "select",
        "source",
        "span",
        "strong",
        "summary",
        "sup",
        "table",
        "tbody",
        "td",
        "textarea",
        "tfoot",
        "th",
        "thead",
        "time",
        "tr",
        "ul",
        "var",
        "video"
      ];
      var SVG_TAGS = [
        "defs",
        "g",
        "marker",
        "mask",
        "pattern",
        "svg",
        "switch",
        "symbol",
        "feBlend",
        "feColorMatrix",
        "feComponentTransfer",
        "feComposite",
        "feConvolveMatrix",
        "feDiffuseLighting",
        "feDisplacementMap",
        "feFlood",
        "feGaussianBlur",
        "feImage",
        "feMerge",
        "feMorphology",
        "feOffset",
        "feSpecularLighting",
        "feTile",
        "feTurbulence",
        "linearGradient",
        "radialGradient",
        "stop",
        "circle",
        "ellipse",
        "image",
        "line",
        "path",
        "polygon",
        "polyline",
        "rect",
        "text",
        "use",
        "textPath",
        "tspan",
        "foreignObject",
        "clipPath"
      ];
      var TAGS = [
        ...HTML_TAGS,
        ...SVG_TAGS
      ];
      var MEDIA_FEATURES = [
        "any-hover",
        "any-pointer",
        "aspect-ratio",
        "color",
        "color-gamut",
        "color-index",
        "device-aspect-ratio",
        "device-height",
        "device-width",
        "display-mode",
        "forced-colors",
        "grid",
        "height",
        "hover",
        "inverted-colors",
        "monochrome",
        "orientation",
        "overflow-block",
        "overflow-inline",
        "pointer",
        "prefers-color-scheme",
        "prefers-contrast",
        "prefers-reduced-motion",
        "prefers-reduced-transparency",
        "resolution",
        "scan",
        "scripting",
        "update",
        "width",
        // TODO: find a better solution?
        "min-width",
        "max-width",
        "min-height",
        "max-height"
      ].sort().reverse();
      var PSEUDO_CLASSES = [
        "active",
        "any-link",
        "blank",
        "checked",
        "current",
        "default",
        "defined",
        "dir",
        // dir()
        "disabled",
        "drop",
        "empty",
        "enabled",
        "first",
        "first-child",
        "first-of-type",
        "fullscreen",
        "future",
        "focus",
        "focus-visible",
        "focus-within",
        "has",
        // has()
        "host",
        // host or host()
        "host-context",
        // host-context()
        "hover",
        "indeterminate",
        "in-range",
        "invalid",
        "is",
        // is()
        "lang",
        // lang()
        "last-child",
        "last-of-type",
        "left",
        "link",
        "local-link",
        "not",
        // not()
        "nth-child",
        // nth-child()
        "nth-col",
        // nth-col()
        "nth-last-child",
        // nth-last-child()
        "nth-last-col",
        // nth-last-col()
        "nth-last-of-type",
        //nth-last-of-type()
        "nth-of-type",
        //nth-of-type()
        "only-child",
        "only-of-type",
        "optional",
        "out-of-range",
        "past",
        "placeholder-shown",
        "read-only",
        "read-write",
        "required",
        "right",
        "root",
        "scope",
        "target",
        "target-within",
        "user-invalid",
        "valid",
        "visited",
        "where"
        // where()
      ].sort().reverse();
      var PSEUDO_ELEMENTS = [
        "after",
        "backdrop",
        "before",
        "cue",
        "cue-region",
        "first-letter",
        "first-line",
        "grammar-error",
        "marker",
        "part",
        "placeholder",
        "selection",
        "slotted",
        "spelling-error"
      ].sort().reverse();
      var ATTRIBUTES = [
        "accent-color",
        "align-content",
        "align-items",
        "align-self",
        "alignment-baseline",
        "all",
        "anchor-name",
        "animation",
        "animation-composition",
        "animation-delay",
        "animation-direction",
        "animation-duration",
        "animation-fill-mode",
        "animation-iteration-count",
        "animation-name",
        "animation-play-state",
        "animation-range",
        "animation-range-end",
        "animation-range-start",
        "animation-timeline",
        "animation-timing-function",
        "appearance",
        "aspect-ratio",
        "backdrop-filter",
        "backface-visibility",
        "background",
        "background-attachment",
        "background-blend-mode",
        "background-clip",
        "background-color",
        "background-image",
        "background-origin",
        "background-position",
        "background-position-x",
        "background-position-y",
        "background-repeat",
        "background-size",
        "baseline-shift",
        "block-size",
        "border",
        "border-block",
        "border-block-color",
        "border-block-end",
        "border-block-end-color",
        "border-block-end-style",
        "border-block-end-width",
        "border-block-start",
        "border-block-start-color",
        "border-block-start-style",
        "border-block-start-width",
        "border-block-style",
        "border-block-width",
        "border-bottom",
        "border-bottom-color",
        "border-bottom-left-radius",
        "border-bottom-right-radius",
        "border-bottom-style",
        "border-bottom-width",
        "border-collapse",
        "border-color",
        "border-end-end-radius",
        "border-end-start-radius",
        "border-image",
        "border-image-outset",
        "border-image-repeat",
        "border-image-slice",
        "border-image-source",
        "border-image-width",
        "border-inline",
        "border-inline-color",
        "border-inline-end",
        "border-inline-end-color",
        "border-inline-end-style",
        "border-inline-end-width",
        "border-inline-start",
        "border-inline-start-color",
        "border-inline-start-style",
        "border-inline-start-width",
        "border-inline-style",
        "border-inline-width",
        "border-left",
        "border-left-color",
        "border-left-style",
        "border-left-width",
        "border-radius",
        "border-right",
        "border-right-color",
        "border-right-style",
        "border-right-width",
        "border-spacing",
        "border-start-end-radius",
        "border-start-start-radius",
        "border-style",
        "border-top",
        "border-top-color",
        "border-top-left-radius",
        "border-top-right-radius",
        "border-top-style",
        "border-top-width",
        "border-width",
        "bottom",
        "box-align",
        "box-decoration-break",
        "box-direction",
        "box-flex",
        "box-flex-group",
        "box-lines",
        "box-ordinal-group",
        "box-orient",
        "box-pack",
        "box-shadow",
        "box-sizing",
        "break-after",
        "break-before",
        "break-inside",
        "caption-side",
        "caret-color",
        "clear",
        "clip",
        "clip-path",
        "clip-rule",
        "color",
        "color-interpolation",
        "color-interpolation-filters",
        "color-profile",
        "color-rendering",
        "color-scheme",
        "column-count",
        "column-fill",
        "column-gap",
        "column-rule",
        "column-rule-color",
        "column-rule-style",
        "column-rule-width",
        "column-span",
        "column-width",
        "columns",
        "contain",
        "contain-intrinsic-block-size",
        "contain-intrinsic-height",
        "contain-intrinsic-inline-size",
        "contain-intrinsic-size",
        "contain-intrinsic-width",
        "container",
        "container-name",
        "container-type",
        "content",
        "content-visibility",
        "counter-increment",
        "counter-reset",
        "counter-set",
        "cue",
        "cue-after",
        "cue-before",
        "cursor",
        "cx",
        "cy",
        "direction",
        "display",
        "dominant-baseline",
        "empty-cells",
        "enable-background",
        "field-sizing",
        "fill",
        "fill-opacity",
        "fill-rule",
        "filter",
        "flex",
        "flex-basis",
        "flex-direction",
        "flex-flow",
        "flex-grow",
        "flex-shrink",
        "flex-wrap",
        "float",
        "flood-color",
        "flood-opacity",
        "flow",
        "font",
        "font-display",
        "font-family",
        "font-feature-settings",
        "font-kerning",
        "font-language-override",
        "font-optical-sizing",
        "font-palette",
        "font-size",
        "font-size-adjust",
        "font-smooth",
        "font-smoothing",
        "font-stretch",
        "font-style",
        "font-synthesis",
        "font-synthesis-position",
        "font-synthesis-small-caps",
        "font-synthesis-style",
        "font-synthesis-weight",
        "font-variant",
        "font-variant-alternates",
        "font-variant-caps",
        "font-variant-east-asian",
        "font-variant-emoji",
        "font-variant-ligatures",
        "font-variant-numeric",
        "font-variant-position",
        "font-variation-settings",
        "font-weight",
        "forced-color-adjust",
        "gap",
        "glyph-orientation-horizontal",
        "glyph-orientation-vertical",
        "grid",
        "grid-area",
        "grid-auto-columns",
        "grid-auto-flow",
        "grid-auto-rows",
        "grid-column",
        "grid-column-end",
        "grid-column-start",
        "grid-gap",
        "grid-row",
        "grid-row-end",
        "grid-row-start",
        "grid-template",
        "grid-template-areas",
        "grid-template-columns",
        "grid-template-rows",
        "hanging-punctuation",
        "height",
        "hyphenate-character",
        "hyphenate-limit-chars",
        "hyphens",
        "icon",
        "image-orientation",
        "image-rendering",
        "image-resolution",
        "ime-mode",
        "initial-letter",
        "initial-letter-align",
        "inline-size",
        "inset",
        "inset-area",
        "inset-block",
        "inset-block-end",
        "inset-block-start",
        "inset-inline",
        "inset-inline-end",
        "inset-inline-start",
        "isolation",
        "justify-content",
        "justify-items",
        "justify-self",
        "kerning",
        "left",
        "letter-spacing",
        "lighting-color",
        "line-break",
        "line-height",
        "line-height-step",
        "list-style",
        "list-style-image",
        "list-style-position",
        "list-style-type",
        "margin",
        "margin-block",
        "margin-block-end",
        "margin-block-start",
        "margin-bottom",
        "margin-inline",
        "margin-inline-end",
        "margin-inline-start",
        "margin-left",
        "margin-right",
        "margin-top",
        "margin-trim",
        "marker",
        "marker-end",
        "marker-mid",
        "marker-start",
        "marks",
        "mask",
        "mask-border",
        "mask-border-mode",
        "mask-border-outset",
        "mask-border-repeat",
        "mask-border-slice",
        "mask-border-source",
        "mask-border-width",
        "mask-clip",
        "mask-composite",
        "mask-image",
        "mask-mode",
        "mask-origin",
        "mask-position",
        "mask-repeat",
        "mask-size",
        "mask-type",
        "masonry-auto-flow",
        "math-depth",
        "math-shift",
        "math-style",
        "max-block-size",
        "max-height",
        "max-inline-size",
        "max-width",
        "min-block-size",
        "min-height",
        "min-inline-size",
        "min-width",
        "mix-blend-mode",
        "nav-down",
        "nav-index",
        "nav-left",
        "nav-right",
        "nav-up",
        "none",
        "normal",
        "object-fit",
        "object-position",
        "offset",
        "offset-anchor",
        "offset-distance",
        "offset-path",
        "offset-position",
        "offset-rotate",
        "opacity",
        "order",
        "orphans",
        "outline",
        "outline-color",
        "outline-offset",
        "outline-style",
        "outline-width",
        "overflow",
        "overflow-anchor",
        "overflow-block",
        "overflow-clip-margin",
        "overflow-inline",
        "overflow-wrap",
        "overflow-x",
        "overflow-y",
        "overlay",
        "overscroll-behavior",
        "overscroll-behavior-block",
        "overscroll-behavior-inline",
        "overscroll-behavior-x",
        "overscroll-behavior-y",
        "padding",
        "padding-block",
        "padding-block-end",
        "padding-block-start",
        "padding-bottom",
        "padding-inline",
        "padding-inline-end",
        "padding-inline-start",
        "padding-left",
        "padding-right",
        "padding-top",
        "page",
        "page-break-after",
        "page-break-before",
        "page-break-inside",
        "paint-order",
        "pause",
        "pause-after",
        "pause-before",
        "perspective",
        "perspective-origin",
        "place-content",
        "place-items",
        "place-self",
        "pointer-events",
        "position",
        "position-anchor",
        "position-visibility",
        "print-color-adjust",
        "quotes",
        "r",
        "resize",
        "rest",
        "rest-after",
        "rest-before",
        "right",
        "rotate",
        "row-gap",
        "ruby-align",
        "ruby-position",
        "scale",
        "scroll-behavior",
        "scroll-margin",
        "scroll-margin-block",
        "scroll-margin-block-end",
        "scroll-margin-block-start",
        "scroll-margin-bottom",
        "scroll-margin-inline",
        "scroll-margin-inline-end",
        "scroll-margin-inline-start",
        "scroll-margin-left",
        "scroll-margin-right",
        "scroll-margin-top",
        "scroll-padding",
        "scroll-padding-block",
        "scroll-padding-block-end",
        "scroll-padding-block-start",
        "scroll-padding-bottom",
        "scroll-padding-inline",
        "scroll-padding-inline-end",
        "scroll-padding-inline-start",
        "scroll-padding-left",
        "scroll-padding-right",
        "scroll-padding-top",
        "scroll-snap-align",
        "scroll-snap-stop",
        "scroll-snap-type",
        "scroll-timeline",
        "scroll-timeline-axis",
        "scroll-timeline-name",
        "scrollbar-color",
        "scrollbar-gutter",
        "scrollbar-width",
        "shape-image-threshold",
        "shape-margin",
        "shape-outside",
        "shape-rendering",
        "speak",
        "speak-as",
        "src",
        // @font-face
        "stop-color",
        "stop-opacity",
        "stroke",
        "stroke-dasharray",
        "stroke-dashoffset",
        "stroke-linecap",
        "stroke-linejoin",
        "stroke-miterlimit",
        "stroke-opacity",
        "stroke-width",
        "tab-size",
        "table-layout",
        "text-align",
        "text-align-all",
        "text-align-last",
        "text-anchor",
        "text-combine-upright",
        "text-decoration",
        "text-decoration-color",
        "text-decoration-line",
        "text-decoration-skip",
        "text-decoration-skip-ink",
        "text-decoration-style",
        "text-decoration-thickness",
        "text-emphasis",
        "text-emphasis-color",
        "text-emphasis-position",
        "text-emphasis-style",
        "text-indent",
        "text-justify",
        "text-orientation",
        "text-overflow",
        "text-rendering",
        "text-shadow",
        "text-size-adjust",
        "text-transform",
        "text-underline-offset",
        "text-underline-position",
        "text-wrap",
        "text-wrap-mode",
        "text-wrap-style",
        "timeline-scope",
        "top",
        "touch-action",
        "transform",
        "transform-box",
        "transform-origin",
        "transform-style",
        "transition",
        "transition-behavior",
        "transition-delay",
        "transition-duration",
        "transition-property",
        "transition-timing-function",
        "translate",
        "unicode-bidi",
        "user-modify",
        "user-select",
        "vector-effect",
        "vertical-align",
        "view-timeline",
        "view-timeline-axis",
        "view-timeline-inset",
        "view-timeline-name",
        "view-transition-name",
        "visibility",
        "voice-balance",
        "voice-duration",
        "voice-family",
        "voice-pitch",
        "voice-range",
        "voice-rate",
        "voice-stress",
        "voice-volume",
        "white-space",
        "white-space-collapse",
        "widows",
        "width",
        "will-change",
        "word-break",
        "word-spacing",
        "word-wrap",
        "writing-mode",
        "x",
        "y",
        "z-index",
        "zoom"
      ].sort().reverse();
      function css(hljs) {
        const regex = hljs.regex;
        const modes = MODES(hljs);
        const VENDOR_PREFIX = { begin: /-(webkit|moz|ms|o)-(?=[a-z])/ };
        const AT_MODIFIERS = "and or not only";
        const AT_PROPERTY_RE = /@-?\w[\w]*(-\w+)*/;
        const IDENT_RE = "[a-zA-Z-][a-zA-Z0-9_-]*";
        const STRINGS = [
          hljs.APOS_STRING_MODE,
          hljs.QUOTE_STRING_MODE
        ];
        return {
          name: "CSS",
          case_insensitive: true,
          illegal: /[=|'\$]/,
          keywords: { keyframePosition: "from to" },
          classNameAliases: {
            // for visual continuity with `tag {}` and because we
            // don't have a great class for this?
            keyframePosition: "selector-tag"
          },
          contains: [
            modes.BLOCK_COMMENT,
            VENDOR_PREFIX,
            // to recognize keyframe 40% etc which are outside the scope of our
            // attribute value mode
            modes.CSS_NUMBER_MODE,
            {
              className: "selector-id",
              begin: /#[A-Za-z0-9_-]+/,
              relevance: 0
            },
            {
              className: "selector-class",
              begin: "\\." + IDENT_RE,
              relevance: 0
            },
            modes.ATTRIBUTE_SELECTOR_MODE,
            {
              className: "selector-pseudo",
              variants: [
                { begin: ":(" + PSEUDO_CLASSES.join("|") + ")" },
                { begin: ":(:)?(" + PSEUDO_ELEMENTS.join("|") + ")" }
              ]
            },
            // we may actually need this (12/2020)
            // { // pseudo-selector params
            //   begin: /\(/,
            //   end: /\)/,
            //   contains: [ hljs.CSS_NUMBER_MODE ]
            // },
            modes.CSS_VARIABLE,
            {
              className: "attribute",
              begin: "\\b(" + ATTRIBUTES.join("|") + ")\\b"
            },
            // attribute values
            {
              begin: /:/,
              end: /[;}{]/,
              contains: [
                modes.BLOCK_COMMENT,
                modes.HEXCOLOR,
                modes.IMPORTANT,
                modes.CSS_NUMBER_MODE,
                ...STRINGS,
                // needed to highlight these as strings and to avoid issues with
                // illegal characters that might be inside urls that would tigger the
                // languages illegal stack
                {
                  begin: /(url|data-uri)\(/,
                  end: /\)/,
                  relevance: 0,
                  // from keywords
                  keywords: { built_in: "url data-uri" },
                  contains: [
                    ...STRINGS,
                    {
                      className: "string",
                      // any character other than `)` as in `url()` will be the start
                      // of a string, which ends with `)` (from the parent mode)
                      begin: /[^)]/,
                      endsWithParent: true,
                      excludeEnd: true
                    }
                  ]
                },
                modes.FUNCTION_DISPATCH
              ]
            },
            {
              begin: regex.lookahead(/@/),
              end: "[{;]",
              relevance: 0,
              illegal: /:/,
              // break on Less variables @var: ...
              contains: [
                {
                  className: "keyword",
                  begin: AT_PROPERTY_RE
                },
                {
                  begin: /\s/,
                  endsWithParent: true,
                  excludeEnd: true,
                  relevance: 0,
                  keywords: {
                    $pattern: /[a-z-]+/,
                    keyword: AT_MODIFIERS,
                    attribute: MEDIA_FEATURES.join(" ")
                  },
                  contains: [
                    {
                      begin: /[a-z-]+(?=:)/,
                      className: "attribute"
                    },
                    ...STRINGS,
                    modes.CSS_NUMBER_MODE
                  ]
                }
              ]
            },
            {
              className: "selector-tag",
              begin: "\\b(" + TAGS.join("|") + ")\\b"
            }
          ]
        };
      }
      module.exports = css;
    }
  });

  // node_modules/highlight.js/lib/languages/d.js
  var require_d = __commonJS({
    "node_modules/highlight.js/lib/languages/d.js"(exports, module) {
      function d2(hljs) {
        const D_KEYWORDS = {
          $pattern: hljs.UNDERSCORE_IDENT_RE,
          keyword: "abstract alias align asm assert auto body break byte case cast catch class const continue debug default delete deprecated do else enum export extern final finally for foreach foreach_reverse|10 goto if immutable import in inout int interface invariant is lazy macro mixin module new nothrow out override package pragma private protected public pure ref return scope shared static struct super switch synchronized template this throw try typedef typeid typeof union unittest version void volatile while with __FILE__ __LINE__ __gshared|10 __thread __traits __DATE__ __EOF__ __TIME__ __TIMESTAMP__ __VENDOR__ __VERSION__",
          built_in: "bool cdouble cent cfloat char creal dchar delegate double dstring float function idouble ifloat ireal long real short string ubyte ucent uint ulong ushort wchar wstring",
          literal: "false null true"
        };
        const decimal_integer_re = "(0|[1-9][\\d_]*)";
        const decimal_integer_nosus_re = "(0|[1-9][\\d_]*|\\d[\\d_]*|[\\d_]+?\\d)";
        const binary_integer_re = "0[bB][01_]+";
        const hexadecimal_digits_re = "([\\da-fA-F][\\da-fA-F_]*|_[\\da-fA-F][\\da-fA-F_]*)";
        const hexadecimal_integer_re = "0[xX]" + hexadecimal_digits_re;
        const decimal_exponent_re = "([eE][+-]?" + decimal_integer_nosus_re + ")";
        const decimal_float_re = "(" + decimal_integer_nosus_re + "(\\.\\d*|" + decimal_exponent_re + ")|\\d+\\." + decimal_integer_nosus_re + "|\\." + decimal_integer_re + decimal_exponent_re + "?)";
        const hexadecimal_float_re = "(0[xX](" + hexadecimal_digits_re + "\\." + hexadecimal_digits_re + "|\\.?" + hexadecimal_digits_re + ")[pP][+-]?" + decimal_integer_nosus_re + ")";
        const integer_re = "(" + decimal_integer_re + "|" + binary_integer_re + "|" + hexadecimal_integer_re + ")";
        const float_re = "(" + hexadecimal_float_re + "|" + decimal_float_re + ")";
        const escape_sequence_re = `\\\\(['"\\?\\\\abfnrtv]|u[\\dA-Fa-f]{4}|[0-7]{1,3}|x[\\dA-Fa-f]{2}|U[\\dA-Fa-f]{8})|&[a-zA-Z\\d]{2,};`;
        const D_INTEGER_MODE = {
          className: "number",
          begin: "\\b" + integer_re + "(L|u|U|Lu|LU|uL|UL)?",
          relevance: 0
        };
        const D_FLOAT_MODE = {
          className: "number",
          begin: "\\b(" + float_re + "([fF]|L|i|[fF]i|Li)?|" + integer_re + "(i|[fF]i|Li))",
          relevance: 0
        };
        const D_CHARACTER_MODE = {
          className: "string",
          begin: "'(" + escape_sequence_re + "|.)",
          end: "'",
          illegal: "."
        };
        const D_ESCAPE_SEQUENCE = {
          begin: escape_sequence_re,
          relevance: 0
        };
        const D_STRING_MODE = {
          className: "string",
          begin: '"',
          contains: [D_ESCAPE_SEQUENCE],
          end: '"[cwd]?'
        };
        const D_WYSIWYG_DELIMITED_STRING_MODE = {
          className: "string",
          begin: '[rq]"',
          end: '"[cwd]?',
          relevance: 5
        };
        const D_ALTERNATE_WYSIWYG_STRING_MODE = {
          className: "string",
          begin: "`",
          end: "`[cwd]?"
        };
        const D_HEX_STRING_MODE = {
          className: "string",
          begin: 'x"[\\da-fA-F\\s\\n\\r]*"[cwd]?',
          relevance: 10
        };
        const D_TOKEN_STRING_MODE = {
          className: "string",
          begin: 'q"\\{',
          end: '\\}"'
        };
        const D_HASHBANG_MODE = {
          className: "meta",
          begin: "^#!",
          end: "$",
          relevance: 5
        };
        const D_SPECIAL_TOKEN_SEQUENCE_MODE = {
          className: "meta",
          begin: "#(line)",
          end: "$",
          relevance: 5
        };
        const D_ATTRIBUTE_MODE = {
          className: "keyword",
          begin: "@[a-zA-Z_][a-zA-Z_\\d]*"
        };
        const D_NESTING_COMMENT_MODE = hljs.COMMENT(
          "\\/\\+",
          "\\+\\/",
          {
            contains: ["self"],
            relevance: 10
          }
        );
        return {
          name: "D",
          keywords: D_KEYWORDS,
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            D_NESTING_COMMENT_MODE,
            D_HEX_STRING_MODE,
            D_STRING_MODE,
            D_WYSIWYG_DELIMITED_STRING_MODE,
            D_ALTERNATE_WYSIWYG_STRING_MODE,
            D_TOKEN_STRING_MODE,
            D_FLOAT_MODE,
            D_INTEGER_MODE,
            D_CHARACTER_MODE,
            D_HASHBANG_MODE,
            D_SPECIAL_TOKEN_SEQUENCE_MODE,
            D_ATTRIBUTE_MODE
          ]
        };
      }
      module.exports = d2;
    }
  });

  // node_modules/highlight.js/lib/languages/markdown.js
  var require_markdown = __commonJS({
    "node_modules/highlight.js/lib/languages/markdown.js"(exports, module) {
      function markdown(hljs) {
        const regex = hljs.regex;
        const INLINE_HTML = {
          begin: /<\/?[A-Za-z_]/,
          end: ">",
          subLanguage: "xml",
          relevance: 0
        };
        const HORIZONTAL_RULE = {
          begin: "^[-\\*]{3,}",
          end: "$"
        };
        const CODE = {
          className: "code",
          variants: [
            // TODO: fix to allow these to work with sublanguage also
            { begin: "(`{3,})[^`](.|\\n)*?\\1`*[ ]*" },
            { begin: "(~{3,})[^~](.|\\n)*?\\1~*[ ]*" },
            // needed to allow markdown as a sublanguage to work
            {
              begin: "```",
              end: "```+[ ]*$"
            },
            {
              begin: "~~~",
              end: "~~~+[ ]*$"
            },
            { begin: "`.+?`" },
            {
              begin: "(?=^( {4}|\\t))",
              // use contains to gobble up multiple lines to allow the block to be whatever size
              // but only have a single open/close tag vs one per line
              contains: [
                {
                  begin: "^( {4}|\\t)",
                  end: "(\\n)$"
                }
              ],
              relevance: 0
            }
          ]
        };
        const LIST = {
          className: "bullet",
          begin: "^[ 	]*([*+-]|(\\d+\\.))(?=\\s+)",
          end: "\\s+",
          excludeEnd: true
        };
        const LINK_REFERENCE = {
          begin: /^\[[^\n]+\]:/,
          returnBegin: true,
          contains: [
            {
              className: "symbol",
              begin: /\[/,
              end: /\]/,
              excludeBegin: true,
              excludeEnd: true
            },
            {
              className: "link",
              begin: /:\s*/,
              end: /$/,
              excludeBegin: true
            }
          ]
        };
        const URL_SCHEME = /[A-Za-z][A-Za-z0-9+.-]*/;
        const LINK = {
          variants: [
            // too much like nested array access in so many languages
            // to have any real relevance
            {
              begin: /\[.+?\]\[.*?\]/,
              relevance: 0
            },
            // popular internet URLs
            {
              begin: /\[.+?\]\(((data|javascript|mailto):|(?:http|ftp)s?:\/\/).*?\)/,
              relevance: 2
            },
            {
              begin: regex.concat(/\[.+?\]\(/, URL_SCHEME, /:\/\/.*?\)/),
              relevance: 2
            },
            // relative urls
            {
              begin: /\[.+?\]\([./?&#].*?\)/,
              relevance: 1
            },
            // whatever else, lower relevance (might not be a link at all)
            {
              begin: /\[.*?\]\(.*?\)/,
              relevance: 0
            }
          ],
          returnBegin: true,
          contains: [
            {
              // empty strings for alt or link text
              match: /\[(?=\])/
            },
            {
              className: "string",
              relevance: 0,
              begin: "\\[",
              end: "\\]",
              excludeBegin: true,
              returnEnd: true
            },
            {
              className: "link",
              relevance: 0,
              begin: "\\]\\(",
              end: "\\)",
              excludeBegin: true,
              excludeEnd: true
            },
            {
              className: "symbol",
              relevance: 0,
              begin: "\\]\\[",
              end: "\\]",
              excludeBegin: true,
              excludeEnd: true
            }
          ]
        };
        const BOLD = {
          className: "strong",
          contains: [],
          // defined later
          variants: [
            {
              begin: /_{2}(?!\s)/,
              end: /_{2}/
            },
            {
              begin: /\*{2}(?!\s)/,
              end: /\*{2}/
            }
          ]
        };
        const ITALIC = {
          className: "emphasis",
          contains: [],
          // defined later
          variants: [
            {
              begin: /\*(?![*\s])/,
              end: /\*/
            },
            {
              begin: /_(?![_\s])/,
              end: /_/,
              relevance: 0
            }
          ]
        };
        const BOLD_WITHOUT_ITALIC = hljs.inherit(BOLD, { contains: [] });
        const ITALIC_WITHOUT_BOLD = hljs.inherit(ITALIC, { contains: [] });
        BOLD.contains.push(ITALIC_WITHOUT_BOLD);
        ITALIC.contains.push(BOLD_WITHOUT_ITALIC);
        let CONTAINABLE = [
          INLINE_HTML,
          LINK
        ];
        [
          BOLD,
          ITALIC,
          BOLD_WITHOUT_ITALIC,
          ITALIC_WITHOUT_BOLD
        ].forEach((m2) => {
          m2.contains = m2.contains.concat(CONTAINABLE);
        });
        CONTAINABLE = CONTAINABLE.concat(BOLD, ITALIC);
        const HEADER = {
          className: "section",
          variants: [
            {
              begin: "^#{1,6}",
              end: "$",
              contains: CONTAINABLE
            },
            {
              begin: "(?=^.+?\\n[=-]{2,}$)",
              contains: [
                { begin: "^[=-]*$" },
                {
                  begin: "^",
                  end: "\\n",
                  contains: CONTAINABLE
                }
              ]
            }
          ]
        };
        const BLOCKQUOTE = {
          className: "quote",
          begin: "^>\\s+",
          contains: CONTAINABLE,
          end: "$"
        };
        const ENTITY = {
          //https://spec.commonmark.org/0.31.2/#entity-references
          scope: "literal",
          match: /&([a-zA-Z0-9]+|#[0-9]{1,7}|#[Xx][0-9a-fA-F]{1,6});/
        };
        return {
          name: "Markdown",
          aliases: [
            "md",
            "mkdown",
            "mkd"
          ],
          contains: [
            HEADER,
            INLINE_HTML,
            LIST,
            BOLD,
            ITALIC,
            BLOCKQUOTE,
            CODE,
            HORIZONTAL_RULE,
            LINK,
            LINK_REFERENCE,
            ENTITY
          ]
        };
      }
      module.exports = markdown;
    }
  });

  // node_modules/highlight.js/lib/languages/dart.js
  var require_dart = __commonJS({
    "node_modules/highlight.js/lib/languages/dart.js"(exports, module) {
      function dart(hljs) {
        const SUBST = {
          className: "subst",
          variants: [{ begin: "\\$[A-Za-z0-9_]+" }]
        };
        const BRACED_SUBST = {
          className: "subst",
          variants: [
            {
              begin: /\$\{/,
              end: /\}/
            }
          ],
          keywords: "true false null this is new super"
        };
        const NUMBER = {
          className: "number",
          relevance: 0,
          variants: [
            { match: /\b[0-9][0-9_]*(\.[0-9][0-9_]*)?([eE][+-]?[0-9][0-9_]*)?\b/ },
            { match: /\b0[xX][0-9A-Fa-f][0-9A-Fa-f_]*\b/ }
          ]
        };
        const STRING = {
          className: "string",
          variants: [
            {
              begin: "r'''",
              end: "'''"
            },
            {
              begin: 'r"""',
              end: '"""'
            },
            {
              begin: "r'",
              end: "'",
              illegal: "\\n"
            },
            {
              begin: 'r"',
              end: '"',
              illegal: "\\n"
            },
            {
              begin: "'''",
              end: "'''",
              contains: [
                hljs.BACKSLASH_ESCAPE,
                SUBST,
                BRACED_SUBST
              ]
            },
            {
              begin: '"""',
              end: '"""',
              contains: [
                hljs.BACKSLASH_ESCAPE,
                SUBST,
                BRACED_SUBST
              ]
            },
            {
              begin: "'",
              end: "'",
              illegal: "\\n",
              contains: [
                hljs.BACKSLASH_ESCAPE,
                SUBST,
                BRACED_SUBST
              ]
            },
            {
              begin: '"',
              end: '"',
              illegal: "\\n",
              contains: [
                hljs.BACKSLASH_ESCAPE,
                SUBST,
                BRACED_SUBST
              ]
            }
          ]
        };
        BRACED_SUBST.contains = [
          NUMBER,
          STRING
        ];
        const BUILT_IN_TYPES = [
          // dart:core
          "Comparable",
          "DateTime",
          "Duration",
          "Function",
          "Iterable",
          "Iterator",
          "List",
          "Map",
          "Match",
          "Object",
          "Pattern",
          "RegExp",
          "Set",
          "Stopwatch",
          "String",
          "StringBuffer",
          "StringSink",
          "Symbol",
          "Type",
          "Uri",
          "bool",
          "double",
          "int",
          "num",
          // dart:html
          "Element",
          "ElementList"
        ];
        const NULLABLE_BUILT_IN_TYPES = BUILT_IN_TYPES.map((e) => `${e}?`);
        const BASIC_KEYWORDS = [
          "abstract",
          "as",
          "assert",
          "async",
          "await",
          "base",
          "break",
          "case",
          "catch",
          "class",
          "const",
          "continue",
          "covariant",
          "default",
          "deferred",
          "do",
          "dynamic",
          "else",
          "enum",
          "export",
          "extends",
          "extension",
          "external",
          "factory",
          "false",
          "final",
          "finally",
          "for",
          "Function",
          "get",
          "hide",
          "if",
          "implements",
          "import",
          "in",
          "interface",
          "is",
          "late",
          "library",
          "mixin",
          "new",
          "null",
          "on",
          "operator",
          "part",
          "required",
          "rethrow",
          "return",
          "sealed",
          "set",
          "show",
          "static",
          "super",
          "switch",
          "sync",
          "this",
          "throw",
          "true",
          "try",
          "typedef",
          "var",
          "void",
          "when",
          "while",
          "with",
          "yield"
        ];
        const KEYWORDS = {
          keyword: BASIC_KEYWORDS,
          built_in: BUILT_IN_TYPES.concat(NULLABLE_BUILT_IN_TYPES).concat([
            // dart:core
            "Never",
            "Null",
            "dynamic",
            "print",
            // dart:html
            "document",
            "querySelector",
            "querySelectorAll",
            "window"
          ]),
          $pattern: /[A-Za-z][A-Za-z0-9_]*\??/
        };
        return {
          name: "Dart",
          keywords: KEYWORDS,
          contains: [
            STRING,
            hljs.COMMENT(
              /\/\*\*(?!\/)/,
              /\*\//,
              {
                subLanguage: "markdown",
                relevance: 0
              }
            ),
            hljs.COMMENT(
              /\/{3,} ?/,
              /$/,
              { contains: [
                {
                  subLanguage: "markdown",
                  begin: ".",
                  end: "$",
                  relevance: 0
                }
              ] }
            ),
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            {
              className: "class",
              beginKeywords: "class interface",
              end: /\{/,
              excludeEnd: true,
              contains: [
                { beginKeywords: "extends implements" },
                hljs.UNDERSCORE_TITLE_MODE
              ]
            },
            NUMBER,
            {
              className: "meta",
              begin: "@[A-Za-z]+"
            },
            {
              begin: "=>"
              // No markup, just a relevance booster
            }
          ]
        };
      }
      module.exports = dart;
    }
  });

  // node_modules/highlight.js/lib/languages/delphi.js
  var require_delphi = __commonJS({
    "node_modules/highlight.js/lib/languages/delphi.js"(exports, module) {
      function delphi(hljs) {
        const KEYWORDS = [
          "exports",
          "register",
          "file",
          "shl",
          "array",
          "record",
          "property",
          "for",
          "mod",
          "while",
          "set",
          "ally",
          "label",
          "uses",
          "raise",
          "not",
          "stored",
          "class",
          "safecall",
          "var",
          "interface",
          "or",
          "private",
          "static",
          "exit",
          "index",
          "inherited",
          "to",
          "else",
          "stdcall",
          "override",
          "shr",
          "asm",
          "far",
          "resourcestring",
          "finalization",
          "packed",
          "virtual",
          "out",
          "and",
          "protected",
          "library",
          "do",
          "xorwrite",
          "goto",
          "near",
          "function",
          "end",
          "div",
          "overload",
          "object",
          "unit",
          "begin",
          "string",
          "on",
          "inline",
          "repeat",
          "until",
          "destructor",
          "write",
          "message",
          "program",
          "with",
          "read",
          "initialization",
          "except",
          "default",
          "nil",
          "if",
          "case",
          "cdecl",
          "in",
          "downto",
          "threadvar",
          "of",
          "try",
          "pascal",
          "const",
          "external",
          "constructor",
          "type",
          "public",
          "then",
          "implementation",
          "finally",
          "published",
          "procedure",
          "absolute",
          "reintroduce",
          "operator",
          "as",
          "is",
          "abstract",
          "alias",
          "assembler",
          "bitpacked",
          "break",
          "continue",
          "cppdecl",
          "cvar",
          "enumerator",
          "experimental",
          "platform",
          "deprecated",
          "unimplemented",
          "dynamic",
          "export",
          "far16",
          "forward",
          "generic",
          "helper",
          "implements",
          "interrupt",
          "iochecks",
          "local",
          "name",
          "nodefault",
          "noreturn",
          "nostackframe",
          "oldfpccall",
          "otherwise",
          "saveregisters",
          "softfloat",
          "specialize",
          "strict",
          "unaligned",
          "varargs"
        ];
        const COMMENT_MODES = [
          hljs.C_LINE_COMMENT_MODE,
          hljs.COMMENT(/\{/, /\}/, { relevance: 0 }),
          hljs.COMMENT(/\(\*/, /\*\)/, { relevance: 10 })
        ];
        const DIRECTIVE = {
          className: "meta",
          variants: [
            {
              begin: /\{\$/,
              end: /\}/
            },
            {
              begin: /\(\*\$/,
              end: /\*\)/
            }
          ]
        };
        const STRING = {
          className: "string",
          begin: /'/,
          end: /'/,
          contains: [{ begin: /''/ }]
        };
        const NUMBER = {
          className: "number",
          relevance: 0,
          // Source: https://www.freepascal.org/docs-html/ref/refse6.html
          variants: [
            {
              // Regular numbers, e.g., 123, 123.456.
              match: /\b\d[\d_]*(\.\d[\d_]*)?/
            },
            {
              // Hexadecimal notation, e.g., $7F.
              match: /\$[\dA-Fa-f_]+/
            },
            {
              // Hexadecimal literal with no digits
              match: /\$/,
              relevance: 0
            },
            {
              // Octal notation, e.g., &42.
              match: /&[0-7][0-7_]*/
            },
            {
              // Binary notation, e.g., %1010.
              match: /%[01_]+/
            },
            {
              // Binary literal with no digits
              match: /%/,
              relevance: 0
            }
          ]
        };
        const CHAR_STRING = {
          className: "string",
          variants: [
            { match: /#\d[\d_]*/ },
            { match: /#\$[\dA-Fa-f][\dA-Fa-f_]*/ },
            { match: /#&[0-7][0-7_]*/ },
            { match: /#%[01][01_]*/ }
          ]
        };
        const CLASS = {
          begin: hljs.IDENT_RE + "\\s*=\\s*class\\s*\\(",
          returnBegin: true,
          contains: [hljs.TITLE_MODE]
        };
        const FUNCTION = {
          className: "function",
          beginKeywords: "function constructor destructor procedure",
          end: /[:;]/,
          keywords: "function constructor|10 destructor|10 procedure|10",
          contains: [
            hljs.TITLE_MODE,
            {
              className: "params",
              begin: /\(/,
              end: /\)/,
              keywords: KEYWORDS,
              contains: [
                STRING,
                CHAR_STRING,
                DIRECTIVE
              ].concat(COMMENT_MODES)
            },
            DIRECTIVE
          ].concat(COMMENT_MODES)
        };
        return {
          name: "Delphi",
          aliases: [
            "dpr",
            "dfm",
            "pas",
            "pascal"
          ],
          case_insensitive: true,
          keywords: KEYWORDS,
          illegal: /"|\$[G-Zg-z]|\/\*|<\/|\|/,
          contains: [
            STRING,
            CHAR_STRING,
            NUMBER,
            CLASS,
            FUNCTION,
            DIRECTIVE
          ].concat(COMMENT_MODES)
        };
      }
      module.exports = delphi;
    }
  });

  // node_modules/highlight.js/lib/languages/diff.js
  var require_diff = __commonJS({
    "node_modules/highlight.js/lib/languages/diff.js"(exports, module) {
      function diff(hljs) {
        const regex = hljs.regex;
        return {
          name: "Diff",
          aliases: ["patch"],
          contains: [
            {
              className: "meta",
              relevance: 10,
              match: regex.either(
                /^@@ +-\d+,\d+ +\+\d+,\d+ +@@/,
                /^\*\*\* +\d+,\d+ +\*\*\*\*$/,
                /^--- +\d+,\d+ +----$/
              )
            },
            {
              className: "comment",
              variants: [
                {
                  begin: regex.either(
                    /Index: /,
                    /^index/,
                    /={3,}/,
                    /^-{3}/,
                    /^\*{3} /,
                    /^\+{3}/,
                    /^diff --git/
                  ),
                  end: /$/
                },
                { match: /^\*{15}$/ }
              ]
            },
            {
              className: "addition",
              begin: /^\+/,
              end: /$/
            },
            {
              className: "deletion",
              begin: /^-/,
              end: /$/
            },
            {
              className: "addition",
              begin: /^!/,
              end: /$/
            }
          ]
        };
      }
      module.exports = diff;
    }
  });

  // node_modules/highlight.js/lib/languages/django.js
  var require_django = __commonJS({
    "node_modules/highlight.js/lib/languages/django.js"(exports, module) {
      function django(hljs) {
        const FILTER = {
          begin: /\|[A-Za-z]+:?/,
          keywords: { name: "truncatewords removetags linebreaksbr yesno get_digit timesince random striptags filesizeformat escape linebreaks length_is ljust rjust cut urlize fix_ampersands title floatformat capfirst pprint divisibleby add make_list unordered_list urlencode timeuntil urlizetrunc wordcount stringformat linenumbers slice date dictsort dictsortreversed default_if_none pluralize lower join center default truncatewords_html upper length phone2numeric wordwrap time addslashes slugify first escapejs force_escape iriencode last safe safeseq truncatechars localize unlocalize localtime utc timezone" },
          contains: [
            hljs.QUOTE_STRING_MODE,
            hljs.APOS_STRING_MODE
          ]
        };
        return {
          name: "Django",
          aliases: ["jinja"],
          case_insensitive: true,
          subLanguage: "xml",
          contains: [
            hljs.COMMENT(/\{%\s*comment\s*%\}/, /\{%\s*endcomment\s*%\}/),
            hljs.COMMENT(/\{#/, /#\}/),
            {
              className: "template-tag",
              begin: /\{%/,
              end: /%\}/,
              contains: [
                {
                  className: "name",
                  begin: /\w+/,
                  keywords: { name: "comment endcomment load templatetag ifchanged endifchanged if endif firstof for endfor ifnotequal endifnotequal widthratio extends include spaceless endspaceless regroup ifequal endifequal ssi now with cycle url filter endfilter debug block endblock else autoescape endautoescape csrf_token empty elif endwith static trans blocktrans endblocktrans get_static_prefix get_media_prefix plural get_current_language language get_available_languages get_current_language_bidi get_language_info get_language_info_list localize endlocalize localtime endlocaltime timezone endtimezone get_current_timezone verbatim" },
                  starts: {
                    endsWithParent: true,
                    keywords: "in by as",
                    contains: [FILTER],
                    relevance: 0
                  }
                }
              ]
            },
            {
              className: "template-variable",
              begin: /\{\{/,
              end: /\}\}/,
              contains: [FILTER]
            }
          ]
        };
      }
      module.exports = django;
    }
  });

  // node_modules/highlight.js/lib/languages/dns.js
  var require_dns = __commonJS({
    "node_modules/highlight.js/lib/languages/dns.js"(exports, module) {
      function dns(hljs) {
        const KEYWORDS = [
          "IN",
          "A",
          "AAAA",
          "AFSDB",
          "APL",
          "CAA",
          "CDNSKEY",
          "CDS",
          "CERT",
          "CNAME",
          "DHCID",
          "DLV",
          "DNAME",
          "DNSKEY",
          "DS",
          "HIP",
          "IPSECKEY",
          "KEY",
          "KX",
          "LOC",
          "MX",
          "NAPTR",
          "NS",
          "NSEC",
          "NSEC3",
          "NSEC3PARAM",
          "PTR",
          "RRSIG",
          "RP",
          "SIG",
          "SOA",
          "SRV",
          "SSHFP",
          "TA",
          "TKEY",
          "TLSA",
          "TSIG",
          "TXT"
        ];
        return {
          name: "DNS Zone",
          aliases: [
            "bind",
            "zone"
          ],
          keywords: KEYWORDS,
          contains: [
            hljs.COMMENT(";", "$", { relevance: 0 }),
            {
              className: "meta",
              begin: /^\$(TTL|GENERATE|INCLUDE|ORIGIN)\b/
            },
            // IPv6
            {
              className: "number",
              begin: "((([0-9A-Fa-f]{1,4}:){7}([0-9A-Fa-f]{1,4}|:))|(([0-9A-Fa-f]{1,4}:){6}(:[0-9A-Fa-f]{1,4}|((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){5}(((:[0-9A-Fa-f]{1,4}){1,2})|:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3})|:))|(([0-9A-Fa-f]{1,4}:){4}(((:[0-9A-Fa-f]{1,4}){1,3})|((:[0-9A-Fa-f]{1,4})?:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){3}(((:[0-9A-Fa-f]{1,4}){1,4})|((:[0-9A-Fa-f]{1,4}){0,2}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){2}(((:[0-9A-Fa-f]{1,4}){1,5})|((:[0-9A-Fa-f]{1,4}){0,3}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(([0-9A-Fa-f]{1,4}:){1}(((:[0-9A-Fa-f]{1,4}){1,6})|((:[0-9A-Fa-f]{1,4}){0,4}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:))|(:(((:[0-9A-Fa-f]{1,4}){1,7})|((:[0-9A-Fa-f]{1,4}){0,5}:((25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)(\\.(25[0-5]|2[0-4]\\d|1\\d\\d|[1-9]?\\d)){3}))|:)))\\b"
            },
            // IPv4
            {
              className: "number",
              begin: "((25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9]).){3,3}(25[0-5]|(2[0-4]|1{0,1}[0-9]){0,1}[0-9])\\b"
            },
            hljs.inherit(hljs.NUMBER_MODE, { begin: /\b\d+[dhwm]?/ })
          ]
        };
      }
      module.exports = dns;
    }
  });

  // node_modules/highlight.js/lib/languages/dockerfile.js
  var require_dockerfile = __commonJS({
    "node_modules/highlight.js/lib/languages/dockerfile.js"(exports, module) {
      function dockerfile(hljs) {
        const KEYWORDS = [
          "from",
          "maintainer",
          "expose",
          "env",
          "arg",
          "user",
          "onbuild",
          "stopsignal"
        ];
        return {
          name: "Dockerfile",
          aliases: ["docker"],
          case_insensitive: true,
          keywords: KEYWORDS,
          contains: [
            hljs.HASH_COMMENT_MODE,
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.NUMBER_MODE,
            {
              beginKeywords: "run cmd entrypoint volume add copy workdir label healthcheck shell",
              starts: {
                end: /[^\\]$/,
                subLanguage: "bash"
              }
            }
          ],
          illegal: "</"
        };
      }
      module.exports = dockerfile;
    }
  });

  // node_modules/highlight.js/lib/languages/dos.js
  var require_dos = __commonJS({
    "node_modules/highlight.js/lib/languages/dos.js"(exports, module) {
      function dos(hljs) {
        const COMMENT = hljs.COMMENT(
          /^\s*@?rem\b/,
          /$/,
          { relevance: 10 }
        );
        const LABEL = {
          className: "symbol",
          begin: "^\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\s+label)",
          relevance: 0
        };
        const KEYWORDS = [
          "if",
          "else",
          "goto",
          "for",
          "in",
          "do",
          "call",
          "exit",
          "not",
          "exist",
          "errorlevel",
          "defined",
          "equ",
          "neq",
          "lss",
          "leq",
          "gtr",
          "geq"
        ];
        const BUILT_INS = [
          "prn",
          "nul",
          "lpt3",
          "lpt2",
          "lpt1",
          "con",
          "com4",
          "com3",
          "com2",
          "com1",
          "aux",
          "shift",
          "cd",
          "dir",
          "echo",
          "setlocal",
          "endlocal",
          "set",
          "pause",
          "copy",
          "append",
          "assoc",
          "at",
          "attrib",
          "break",
          "cacls",
          "cd",
          "chcp",
          "chdir",
          "chkdsk",
          "chkntfs",
          "cls",
          "cmd",
          "color",
          "comp",
          "compact",
          "convert",
          "date",
          "dir",
          "diskcomp",
          "diskcopy",
          "doskey",
          "erase",
          "fs",
          "find",
          "findstr",
          "format",
          "ftype",
          "graftabl",
          "help",
          "keyb",
          "label",
          "md",
          "mkdir",
          "mode",
          "more",
          "move",
          "path",
          "pause",
          "print",
          "popd",
          "pushd",
          "promt",
          "rd",
          "recover",
          "rem",
          "rename",
          "replace",
          "restore",
          "rmdir",
          "shift",
          "sort",
          "start",
          "subst",
          "time",
          "title",
          "tree",
          "type",
          "ver",
          "verify",
          "vol",
          // winutils
          "ping",
          "net",
          "ipconfig",
          "taskkill",
          "xcopy",
          "ren",
          "del"
        ];
        return {
          name: "Batch file (DOS)",
          aliases: [
            "bat",
            "cmd"
          ],
          case_insensitive: true,
          illegal: /\/\*/,
          keywords: {
            keyword: KEYWORDS,
            built_in: BUILT_INS
          },
          contains: [
            {
              className: "variable",
              begin: /%%[^ ]|%[^ ]+?%|![^ ]+?!/
            },
            {
              className: "function",
              begin: LABEL.begin,
              end: "goto:eof",
              contains: [
                hljs.inherit(hljs.TITLE_MODE, { begin: "([_a-zA-Z]\\w*\\.)*([_a-zA-Z]\\w*:)?[_a-zA-Z]\\w*" }),
                COMMENT
              ]
            },
            {
              className: "number",
              begin: "\\b\\d+",
              relevance: 0
            },
            COMMENT
          ]
        };
      }
      module.exports = dos;
    }
  });

  // node_modules/highlight.js/lib/languages/dsconfig.js
  var require_dsconfig = __commonJS({
    "node_modules/highlight.js/lib/languages/dsconfig.js"(exports, module) {
      function dsconfig(hljs) {
        const QUOTED_PROPERTY = {
          className: "string",
          begin: /"/,
          end: /"/
        };
        const APOS_PROPERTY = {
          className: "string",
          begin: /'/,
          end: /'/
        };
        const UNQUOTED_PROPERTY = {
          className: "string",
          begin: /[\w\-?]+:\w+/,
          end: /\W/,
          relevance: 0
        };
        const VALUELESS_PROPERTY = {
          className: "string",
          begin: /\w+(\-\w+)*/,
          end: /(?=\W)/,
          relevance: 0
        };
        return {
          keywords: "dsconfig",
          contains: [
            {
              className: "keyword",
              begin: "^dsconfig",
              end: /\s/,
              excludeEnd: true,
              relevance: 10
            },
            {
              className: "built_in",
              begin: /(list|create|get|set|delete)-(\w+)/,
              end: /\s/,
              excludeEnd: true,
              illegal: "!@#$%^&*()",
              relevance: 10
            },
            {
              className: "built_in",
              begin: /--(\w+)/,
              end: /\s/,
              excludeEnd: true
            },
            QUOTED_PROPERTY,
            APOS_PROPERTY,
            UNQUOTED_PROPERTY,
            VALUELESS_PROPERTY,
            hljs.HASH_COMMENT_MODE
          ]
        };
      }
      module.exports = dsconfig;
    }
  });

  // node_modules/highlight.js/lib/languages/dts.js
  var require_dts = __commonJS({
    "node_modules/highlight.js/lib/languages/dts.js"(exports, module) {
      function dts(hljs) {
        const STRINGS = {
          className: "string",
          variants: [
            hljs.inherit(hljs.QUOTE_STRING_MODE, { begin: '((u8?|U)|L)?"' }),
            {
              begin: '(u8?|U)?R"',
              end: '"',
              contains: [hljs.BACKSLASH_ESCAPE]
            },
            {
              begin: "'\\\\?.",
              end: "'",
              illegal: "."
            }
          ]
        };
        const NUMBERS = {
          className: "number",
          variants: [
            { begin: "\\b(\\d+(\\.\\d*)?|\\.\\d+)(u|U|l|L|ul|UL|f|F)" },
            { begin: hljs.C_NUMBER_RE }
          ],
          relevance: 0
        };
        const PREPROCESSOR = {
          className: "meta",
          begin: "#",
          end: "$",
          keywords: { keyword: "if else elif endif define undef ifdef ifndef" },
          contains: [
            {
              begin: /\\\n/,
              relevance: 0
            },
            {
              beginKeywords: "include",
              end: "$",
              keywords: { keyword: "include" },
              contains: [
                hljs.inherit(STRINGS, { className: "string" }),
                {
                  className: "string",
                  begin: "<",
                  end: ">",
                  illegal: "\\n"
                }
              ]
            },
            STRINGS,
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE
          ]
        };
        const REFERENCE = {
          className: "variable",
          begin: /&[a-z\d_]*\b/
        };
        const KEYWORD = {
          className: "keyword",
          begin: "/[a-z][a-z\\d-]*/"
        };
        const LABEL = {
          className: "symbol",
          begin: "^\\s*[a-zA-Z_][a-zA-Z\\d_]*:"
        };
        const CELL_PROPERTY = {
          className: "params",
          relevance: 0,
          begin: "<",
          end: ">",
          contains: [
            NUMBERS,
            REFERENCE
          ]
        };
        const NODE = {
          className: "title.class",
          begin: /[a-zA-Z_][a-zA-Z\d_@-]*(?=\s\{)/,
          relevance: 0.2
        };
        const ROOT_NODE = {
          className: "title.class",
          begin: /^\/(?=\s*\{)/,
          relevance: 10
        };
        const ATTR_NO_VALUE = {
          match: /[a-z][a-z-,]+(?=;)/,
          relevance: 0,
          scope: "attr"
        };
        const ATTR = {
          relevance: 0,
          match: [
            /[a-z][a-z-,]+/,
            /\s*/,
            /=/
          ],
          scope: {
            1: "attr",
            3: "operator"
          }
        };
        const PUNC = {
          scope: "punctuation",
          relevance: 0,
          // `};` combined is just to avoid tons of useless punctuation nodes
          match: /\};|[;{}]/
        };
        return {
          name: "Device Tree",
          contains: [
            ROOT_NODE,
            REFERENCE,
            KEYWORD,
            LABEL,
            NODE,
            ATTR,
            ATTR_NO_VALUE,
            CELL_PROPERTY,
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            NUMBERS,
            STRINGS,
            PREPROCESSOR,
            PUNC,
            {
              begin: hljs.IDENT_RE + "::",
              keywords: ""
            }
          ]
        };
      }
      module.exports = dts;
    }
  });

  // node_modules/highlight.js/lib/languages/dust.js
  var require_dust = __commonJS({
    "node_modules/highlight.js/lib/languages/dust.js"(exports, module) {
      function dust(hljs) {
        const EXPRESSION_KEYWORDS = "if eq ne lt lte gt gte select default math sep";
        return {
          name: "Dust",
          aliases: ["dst"],
          case_insensitive: true,
          subLanguage: "xml",
          contains: [
            {
              className: "template-tag",
              begin: /\{[#\/]/,
              end: /\}/,
              illegal: /;/,
              contains: [
                {
                  className: "name",
                  begin: /[a-zA-Z\.-]+/,
                  starts: {
                    endsWithParent: true,
                    relevance: 0,
                    contains: [hljs.QUOTE_STRING_MODE]
                  }
                }
              ]
            },
            {
              className: "template-variable",
              begin: /\{/,
              end: /\}/,
              illegal: /;/,
              keywords: EXPRESSION_KEYWORDS
            }
          ]
        };
      }
      module.exports = dust;
    }
  });

  // node_modules/highlight.js/lib/languages/ebnf.js
  var require_ebnf = __commonJS({
    "node_modules/highlight.js/lib/languages/ebnf.js"(exports, module) {
      function ebnf(hljs) {
        const commentMode = hljs.COMMENT(/\(\*/, /\*\)/);
        const nonTerminalMode = {
          className: "attribute",
          begin: /^[ ]*[a-zA-Z]+([\s_-]+[a-zA-Z]+)*/
        };
        const specialSequenceMode = {
          className: "meta",
          begin: /\?.*\?/
        };
        const ruleBodyMode = {
          begin: /=/,
          end: /[.;]/,
          contains: [
            commentMode,
            specialSequenceMode,
            {
              // terminals
              className: "string",
              variants: [
                hljs.APOS_STRING_MODE,
                hljs.QUOTE_STRING_MODE,
                {
                  begin: "`",
                  end: "`"
                }
              ]
            }
          ]
        };
        return {
          name: "Extended Backus-Naur Form",
          illegal: /\S/,
          contains: [
            commentMode,
            nonTerminalMode,
            ruleBodyMode
          ]
        };
      }
      module.exports = ebnf;
    }
  });

  // node_modules/highlight.js/lib/languages/elixir.js
  var require_elixir = __commonJS({
    "node_modules/highlight.js/lib/languages/elixir.js"(exports, module) {
      function elixir(hljs) {
        const regex = hljs.regex;
        const ELIXIR_IDENT_RE = "[a-zA-Z_][a-zA-Z0-9_.]*(!|\\?)?";
        const ELIXIR_METHOD_RE = "[a-zA-Z_]\\w*[!?=]?|[-+~]@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\]=?";
        const KEYWORDS = [
          "after",
          "alias",
          "and",
          "case",
          "catch",
          "cond",
          "defstruct",
          "defguard",
          "do",
          "else",
          "end",
          "fn",
          "for",
          "if",
          "import",
          "in",
          "not",
          "or",
          "quote",
          "raise",
          "receive",
          "require",
          "reraise",
          "rescue",
          "try",
          "unless",
          "unquote",
          "unquote_splicing",
          "use",
          "when",
          "with|0"
        ];
        const LITERALS = [
          "false",
          "nil",
          "true"
        ];
        const KWS = {
          $pattern: ELIXIR_IDENT_RE,
          keyword: KEYWORDS,
          literal: LITERALS
        };
        const SUBST = {
          className: "subst",
          begin: /#\{/,
          end: /\}/,
          keywords: KWS
        };
        const NUMBER = {
          className: "number",
          begin: "(\\b0o[0-7_]+)|(\\b0b[01_]+)|(\\b0x[0-9a-fA-F_]+)|(-?\\b[0-9][0-9_]*(\\.[0-9_]+([eE][-+]?[0-9]+)?)?)",
          relevance: 0
        };
        const ESCAPES_RE = /\\[\s\S]/;
        const BACKSLASH_ESCAPE = {
          match: ESCAPES_RE,
          scope: "char.escape",
          relevance: 0
        };
        const SIGIL_DELIMITERS = `[/|([{<"']`;
        const SIGIL_DELIMITER_MODES = [
          {
            begin: /"/,
            end: /"/
          },
          {
            begin: /'/,
            end: /'/
          },
          {
            begin: /\//,
            end: /\//
          },
          {
            begin: /\|/,
            end: /\|/
          },
          {
            begin: /\(/,
            end: /\)/
          },
          {
            begin: /\[/,
            end: /\]/
          },
          {
            begin: /\{/,
            end: /\}/
          },
          {
            begin: /</,
            end: />/
          }
        ];
        const escapeSigilEnd = (end) => {
          return {
            scope: "char.escape",
            begin: regex.concat(/\\/, end),
            relevance: 0
          };
        };
        const LOWERCASE_SIGIL = {
          className: "string",
          begin: "~[a-z](?=" + SIGIL_DELIMITERS + ")",
          contains: SIGIL_DELIMITER_MODES.map((x) => hljs.inherit(
            x,
            { contains: [
              escapeSigilEnd(x.end),
              BACKSLASH_ESCAPE,
              SUBST
            ] }
          ))
        };
        const UPCASE_SIGIL = {
          className: "string",
          begin: "~[A-Z](?=" + SIGIL_DELIMITERS + ")",
          contains: SIGIL_DELIMITER_MODES.map((x) => hljs.inherit(
            x,
            { contains: [escapeSigilEnd(x.end)] }
          ))
        };
        const REGEX_SIGIL = {
          className: "regex",
          variants: [
            {
              begin: "~r(?=" + SIGIL_DELIMITERS + ")",
              contains: SIGIL_DELIMITER_MODES.map((x) => hljs.inherit(
                x,
                {
                  end: regex.concat(x.end, /[uismxfU]{0,7}/),
                  contains: [
                    escapeSigilEnd(x.end),
                    BACKSLASH_ESCAPE,
                    SUBST
                  ]
                }
              ))
            },
            {
              begin: "~R(?=" + SIGIL_DELIMITERS + ")",
              contains: SIGIL_DELIMITER_MODES.map(
                (x) => hljs.inherit(
                  x,
                  {
                    end: regex.concat(x.end, /[uismxfU]{0,7}/),
                    contains: [escapeSigilEnd(x.end)]
                  }
                )
              )
            }
          ]
        };
        const STRING = {
          className: "string",
          contains: [
            hljs.BACKSLASH_ESCAPE,
            SUBST
          ],
          variants: [
            {
              begin: /"""/,
              end: /"""/
            },
            {
              begin: /'''/,
              end: /'''/
            },
            {
              begin: /~S"""/,
              end: /"""/,
              contains: []
              // override default
            },
            {
              begin: /~S"/,
              end: /"/,
              contains: []
              // override default
            },
            {
              begin: /~S'''/,
              end: /'''/,
              contains: []
              // override default
            },
            {
              begin: /~S'/,
              end: /'/,
              contains: []
              // override default
            },
            {
              begin: /'/,
              end: /'/
            },
            {
              begin: /"/,
              end: /"/
            }
          ]
        };
        const FUNCTION = {
          className: "function",
          beginKeywords: "def defp defmacro defmacrop",
          end: /\B\b/,
          // the mode is ended by the title
          contains: [
            hljs.inherit(hljs.TITLE_MODE, {
              begin: ELIXIR_IDENT_RE,
              endsParent: true
            })
          ]
        };
        const CLASS = hljs.inherit(FUNCTION, {
          className: "class",
          beginKeywords: "defimpl defmodule defprotocol defrecord",
          end: /\bdo\b|$|;/
        });
        const ELIXIR_DEFAULT_CONTAINS = [
          STRING,
          REGEX_SIGIL,
          UPCASE_SIGIL,
          LOWERCASE_SIGIL,
          hljs.HASH_COMMENT_MODE,
          CLASS,
          FUNCTION,
          { begin: "::" },
          {
            className: "symbol",
            begin: ":(?![\\s:])",
            contains: [
              STRING,
              { begin: ELIXIR_METHOD_RE }
            ],
            relevance: 0
          },
          {
            className: "symbol",
            begin: ELIXIR_IDENT_RE + ":(?!:)",
            relevance: 0
          },
          {
            // Usage of a module, struct, etc.
            className: "title.class",
            begin: /(\b[A-Z][a-zA-Z0-9_]+)/,
            relevance: 0
          },
          NUMBER,
          {
            className: "variable",
            begin: "(\\$\\W)|((\\$|@@?)(\\w+))"
          }
          // -> has been removed, capnproto always uses this grammar construct
        ];
        SUBST.contains = ELIXIR_DEFAULT_CONTAINS;
        return {
          name: "Elixir",
          aliases: [
            "ex",
            "exs"
          ],
          keywords: KWS,
          contains: ELIXIR_DEFAULT_CONTAINS
        };
      }
      module.exports = elixir;
    }
  });

  // node_modules/highlight.js/lib/languages/elm.js
  var require_elm = __commonJS({
    "node_modules/highlight.js/lib/languages/elm.js"(exports, module) {
      function elm(hljs) {
        const COMMENT = { variants: [
          hljs.COMMENT("--", "$"),
          hljs.COMMENT(
            /\{-/,
            /-\}/,
            { contains: ["self"] }
          )
        ] };
        const CONSTRUCTOR = {
          className: "type",
          begin: "\\b[A-Z][\\w']*",
          // TODO: other constructors (built-in, infix).
          relevance: 0
        };
        const LIST = {
          begin: "\\(",
          end: "\\)",
          illegal: '"',
          contains: [
            {
              className: "type",
              begin: "\\b[A-Z][\\w]*(\\((\\.\\.|,|\\w+)\\))?"
            },
            COMMENT
          ]
        };
        const RECORD = {
          begin: /\{/,
          end: /\}/,
          contains: LIST.contains
        };
        const CHARACTER = {
          className: "string",
          begin: "'\\\\?.",
          end: "'",
          illegal: "."
        };
        const KEYWORDS = [
          "let",
          "in",
          "if",
          "then",
          "else",
          "case",
          "of",
          "where",
          "module",
          "import",
          "exposing",
          "type",
          "alias",
          "as",
          "infix",
          "infixl",
          "infixr",
          "port",
          "effect",
          "command",
          "subscription"
        ];
        return {
          name: "Elm",
          keywords: KEYWORDS,
          contains: [
            // Top-level constructions.
            {
              beginKeywords: "port effect module",
              end: "exposing",
              keywords: "port effect module where command subscription exposing",
              contains: [
                LIST,
                COMMENT
              ],
              illegal: "\\W\\.|;"
            },
            {
              begin: "import",
              end: "$",
              keywords: "import as exposing",
              contains: [
                LIST,
                COMMENT
              ],
              illegal: "\\W\\.|;"
            },
            {
              begin: "type",
              end: "$",
              keywords: "type alias",
              contains: [
                CONSTRUCTOR,
                LIST,
                RECORD,
                COMMENT
              ]
            },
            {
              beginKeywords: "infix infixl infixr",
              end: "$",
              contains: [
                hljs.C_NUMBER_MODE,
                COMMENT
              ]
            },
            {
              begin: "port",
              end: "$",
              keywords: "port",
              contains: [COMMENT]
            },
            // Literals and names.
            CHARACTER,
            hljs.QUOTE_STRING_MODE,
            hljs.C_NUMBER_MODE,
            CONSTRUCTOR,
            hljs.inherit(hljs.TITLE_MODE, { begin: "^[_a-z][\\w']*" }),
            COMMENT,
            {
              // No markup, relevance booster
              begin: "->|<-"
            }
          ],
          illegal: /;/
        };
      }
      module.exports = elm;
    }
  });

  // node_modules/highlight.js/lib/languages/ruby.js
  var require_ruby = __commonJS({
    "node_modules/highlight.js/lib/languages/ruby.js"(exports, module) {
      function ruby(hljs) {
        const regex = hljs.regex;
        const RUBY_METHOD_RE = "([a-zA-Z_]\\w*[!?=]?|[-+~]@|<<|>>|=~|===?|<=>|[<>]=?|\\*\\*|[-/+%^&*~`|]|\\[\\]=?)";
        const CLASS_NAME_RE = regex.either(
          /\b([A-Z]+[a-z0-9]+)+/,
          // ends in caps
          /\b([A-Z]+[a-z0-9]+)+[A-Z]+/
        );
        const CLASS_NAME_WITH_NAMESPACE_RE = regex.concat(CLASS_NAME_RE, /(::\w+)*/);
        const PSEUDO_KWS = [
          "include",
          "extend",
          "prepend",
          "public",
          "private",
          "protected",
          "raise",
          "throw"
        ];
        const RUBY_KEYWORDS = {
          "variable.constant": [
            "__FILE__",
            "__LINE__",
            "__ENCODING__"
          ],
          "variable.language": [
            "self",
            "super"
          ],
          keyword: [
            "alias",
            "and",
            "begin",
            "BEGIN",
            "break",
            "case",
            "class",
            "defined",
            "do",
            "else",
            "elsif",
            "end",
            "END",
            "ensure",
            "for",
            "if",
            "in",
            "module",
            "next",
            "not",
            "or",
            "redo",
            "require",
            "rescue",
            "retry",
            "return",
            "then",
            "undef",
            "unless",
            "until",
            "when",
            "while",
            "yield",
            ...PSEUDO_KWS
          ],
          built_in: [
            "proc",
            "lambda",
            "attr_accessor",
            "attr_reader",
            "attr_writer",
            "define_method",
            "private_constant",
            "module_function"
          ],
          literal: [
            "true",
            "false",
            "nil"
          ]
        };
        const YARDOCTAG = {
          className: "doctag",
          begin: "@[A-Za-z]+"
        };
        const IRB_OBJECT = {
          begin: "#<",
          end: ">"
        };
        const COMMENT_MODES = [
          hljs.COMMENT(
            "#",
            "$",
            { contains: [YARDOCTAG] }
          ),
          hljs.COMMENT(
            "^=begin",
            "^=end",
            {
              contains: [YARDOCTAG],
              relevance: 10
            }
          ),
          hljs.COMMENT("^__END__", hljs.MATCH_NOTHING_RE)
        ];
        const SUBST = {
          className: "subst",
          begin: /#\{/,
          end: /\}/,
          keywords: RUBY_KEYWORDS
        };
        const STRING = {
          className: "string",
          contains: [
            hljs.BACKSLASH_ESCAPE,
            SUBST
          ],
          variants: [
            {
              begin: /'/,
              end: /'/
            },
            {
              begin: /"/,
              end: /"/
            },
            {
              begin: /`/,
              end: /`/
            },
            {
              begin: /%[qQwWx]?\(/,
              end: /\)/
            },
            {
              begin: /%[qQwWx]?\[/,
              end: /\]/
            },
            {
              begin: /%[qQwWx]?\{/,
              end: /\}/
            },
            {
              begin: /%[qQwWx]?</,
              end: />/
            },
            {
              begin: /%[qQwWx]?\//,
              end: /\//
            },
            {
              begin: /%[qQwWx]?%/,
              end: /%/
            },
            {
              begin: /%[qQwWx]?-/,
              end: /-/
            },
            {
              begin: /%[qQwWx]?\|/,
              end: /\|/
            },
            // in the following expressions, \B in the beginning suppresses recognition of ?-sequences
            // where ? is the last character of a preceding identifier, as in: `func?4`
            { begin: /\B\?(\\\d{1,3})/ },
            { begin: /\B\?(\\x[A-Fa-f0-9]{1,2})/ },
            { begin: /\B\?(\\u\{?[A-Fa-f0-9]{1,6}\}?)/ },
            { begin: /\B\?(\\M-\\C-|\\M-\\c|\\c\\M-|\\M-|\\C-\\M-)[\x20-\x7e]/ },
            { begin: /\B\?\\(c|C-)[\x20-\x7e]/ },
            { begin: /\B\?\\?\S/ },
            // heredocs
            {
              // this guard makes sure that we have an entire heredoc and not a false
              // positive (auto-detect, etc.)
              begin: regex.concat(
                /<<[-~]?'?/,
                regex.lookahead(/(\w+)(?=\W)[^\n]*\n(?:[^\n]*\n)*?\s*\1\b/)
              ),
              contains: [
                hljs.END_SAME_AS_BEGIN({
                  begin: /(\w+)/,
                  end: /(\w+)/,
                  contains: [
                    hljs.BACKSLASH_ESCAPE,
                    SUBST
                  ]
                })
              ]
            }
          ]
        };
        const decimal = "[1-9](_?[0-9])*|0";
        const digits = "[0-9](_?[0-9])*";
        const NUMBER = {
          className: "number",
          relevance: 0,
          variants: [
            // decimal integer/float, optionally exponential or rational, optionally imaginary
            { begin: `\\b(${decimal})(\\.(${digits}))?([eE][+-]?(${digits})|r)?i?\\b` },
            // explicit decimal/binary/octal/hexadecimal integer,
            // optionally rational and/or imaginary
            { begin: "\\b0[dD][0-9](_?[0-9])*r?i?\\b" },
            { begin: "\\b0[bB][0-1](_?[0-1])*r?i?\\b" },
            { begin: "\\b0[oO][0-7](_?[0-7])*r?i?\\b" },
            { begin: "\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*r?i?\\b" },
            // 0-prefixed implicit octal integer, optionally rational and/or imaginary
            { begin: "\\b0(_?[0-7])+r?i?\\b" }
          ]
        };
        const PARAMS = {
          variants: [
            {
              match: /\(\)/
            },
            {
              className: "params",
              begin: /\(/,
              end: /(?=\))/,
              excludeBegin: true,
              endsParent: true,
              keywords: RUBY_KEYWORDS
            }
          ]
        };
        const INCLUDE_EXTEND = {
          match: [
            /(include|extend)\s+/,
            CLASS_NAME_WITH_NAMESPACE_RE
          ],
          scope: {
            2: "title.class"
          },
          keywords: RUBY_KEYWORDS
        };
        const CLASS_DEFINITION = {
          variants: [
            {
              match: [
                /class\s+/,
                CLASS_NAME_WITH_NAMESPACE_RE,
                /\s+<\s+/,
                CLASS_NAME_WITH_NAMESPACE_RE
              ]
            },
            {
              match: [
                /\b(class|module)\s+/,
                CLASS_NAME_WITH_NAMESPACE_RE
              ]
            }
          ],
          scope: {
            2: "title.class",
            4: "title.class.inherited"
          },
          keywords: RUBY_KEYWORDS
        };
        const UPPER_CASE_CONSTANT = {
          relevance: 0,
          match: /\b[A-Z][A-Z_0-9]+\b/,
          className: "variable.constant"
        };
        const METHOD_DEFINITION = {
          match: [
            /def/,
            /\s+/,
            RUBY_METHOD_RE
          ],
          scope: {
            1: "keyword",
            3: "title.function"
          },
          contains: [
            PARAMS
          ]
        };
        const OBJECT_CREATION = {
          relevance: 0,
          match: [
            CLASS_NAME_WITH_NAMESPACE_RE,
            /\.new[. (]/
          ],
          scope: {
            1: "title.class"
          }
        };
        const CLASS_REFERENCE = {
          relevance: 0,
          match: CLASS_NAME_RE,
          scope: "title.class"
        };
        const RUBY_DEFAULT_CONTAINS = [
          STRING,
          CLASS_DEFINITION,
          INCLUDE_EXTEND,
          OBJECT_CREATION,
          UPPER_CASE_CONSTANT,
          CLASS_REFERENCE,
          METHOD_DEFINITION,
          {
            // swallow namespace qualifiers before symbols
            begin: hljs.IDENT_RE + "::"
          },
          {
            className: "symbol",
            begin: hljs.UNDERSCORE_IDENT_RE + "(!|\\?)?:",
            relevance: 0
          },
          {
            className: "symbol",
            begin: ":(?!\\s)",
            contains: [
              STRING,
              { begin: RUBY_METHOD_RE }
            ],
            relevance: 0
          },
          NUMBER,
          {
            // negative-look forward attempts to prevent false matches like:
            // @ident@ or $ident$ that might indicate this is not ruby at all
            className: "variable",
            begin: `(\\$\\W)|((\\$|@@?)(\\w+))(?=[^@$?])(?![A-Za-z])(?![@$?'])`
          },
          {
            className: "params",
            begin: /\|(?!=)/,
            end: /\|/,
            excludeBegin: true,
            excludeEnd: true,
            relevance: 0,
            // this could be a lot of things (in other languages) other than params
            keywords: RUBY_KEYWORDS
          },
          {
            // regexp container
            begin: "(" + hljs.RE_STARTERS_RE + "|unless)\\s*",
            keywords: "unless",
            contains: [
              {
                className: "regexp",
                contains: [
                  hljs.BACKSLASH_ESCAPE,
                  SUBST
                ],
                illegal: /\n/,
                variants: [
                  {
                    begin: "/",
                    end: "/[a-z]*"
                  },
                  {
                    begin: /%r\{/,
                    end: /\}[a-z]*/
                  },
                  {
                    begin: "%r\\(",
                    end: "\\)[a-z]*"
                  },
                  {
                    begin: "%r!",
                    end: "![a-z]*"
                  },
                  {
                    begin: "%r\\[",
                    end: "\\][a-z]*"
                  }
                ]
              }
            ].concat(IRB_OBJECT, COMMENT_MODES),
            relevance: 0
          }
        ].concat(IRB_OBJECT, COMMENT_MODES);
        SUBST.contains = RUBY_DEFAULT_CONTAINS;
        PARAMS.contains = RUBY_DEFAULT_CONTAINS;
        const SIMPLE_PROMPT = "[>?]>";
        const DEFAULT_PROMPT = "[\\w#]+\\(\\w+\\):\\d+:\\d+[>*]";
        const RVM_PROMPT = "(\\w+-)?\\d+\\.\\d+\\.\\d+(p\\d+)?[^\\d][^>]+>";
        const IRB_DEFAULT = [
          {
            begin: /^\s*=>/,
            starts: {
              end: "$",
              contains: RUBY_DEFAULT_CONTAINS
            }
          },
          {
            className: "meta.prompt",
            begin: "^(" + SIMPLE_PROMPT + "|" + DEFAULT_PROMPT + "|" + RVM_PROMPT + ")(?=[ ])",
            starts: {
              end: "$",
              keywords: RUBY_KEYWORDS,
              contains: RUBY_DEFAULT_CONTAINS
            }
          }
        ];
        COMMENT_MODES.unshift(IRB_OBJECT);
        return {
          name: "Ruby",
          aliases: [
            "rb",
            "gemspec",
            "podspec",
            "thor",
            "irb"
          ],
          keywords: RUBY_KEYWORDS,
          illegal: /\/\*/,
          contains: [hljs.SHEBANG({ binary: "ruby" })].concat(IRB_DEFAULT).concat(COMMENT_MODES).concat(RUBY_DEFAULT_CONTAINS)
        };
      }
      module.exports = ruby;
    }
  });

  // node_modules/highlight.js/lib/languages/erb.js
  var require_erb = __commonJS({
    "node_modules/highlight.js/lib/languages/erb.js"(exports, module) {
      function erb(hljs) {
        return {
          name: "ERB",
          subLanguage: "xml",
          contains: [
            hljs.COMMENT("<%#", "%>"),
            {
              begin: "<%[%=-]?",
              end: "[%-]?%>",
              subLanguage: "ruby",
              excludeBegin: true,
              excludeEnd: true
            }
          ]
        };
      }
      module.exports = erb;
    }
  });

  // node_modules/highlight.js/lib/languages/erlang-repl.js
  var require_erlang_repl = __commonJS({
    "node_modules/highlight.js/lib/languages/erlang-repl.js"(exports, module) {
      function erlangRepl(hljs) {
        const regex = hljs.regex;
        return {
          name: "Erlang REPL",
          keywords: {
            built_in: "spawn spawn_link self",
            keyword: "after and andalso|10 band begin bnot bor bsl bsr bxor case catch cond div end fun if let not of or orelse|10 query receive rem try when xor"
          },
          contains: [
            {
              className: "meta.prompt",
              begin: "^[0-9]+> ",
              relevance: 10
            },
            hljs.COMMENT("%", "$"),
            {
              className: "number",
              begin: "\\b(\\d+(_\\d+)*#[a-fA-F0-9]+(_[a-fA-F0-9]+)*|\\d+(_\\d+)*(\\.\\d+(_\\d+)*)?([eE][-+]?\\d+)?)",
              relevance: 0
            },
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            { begin: regex.concat(
              /\?(::)?/,
              /([A-Z]\w*)/,
              // at least one identifier
              /((::)[A-Z]\w*)*/
              // perhaps more
            ) },
            { begin: "->" },
            { begin: "ok" },
            { begin: "!" },
            {
              begin: "(\\b[a-z'][a-zA-Z0-9_']*:[a-z'][a-zA-Z0-9_']*)|(\\b[a-z'][a-zA-Z0-9_']*)",
              relevance: 0
            },
            {
              begin: "[A-Z][a-zA-Z0-9_']*",
              relevance: 0
            }
          ]
        };
      }
      module.exports = erlangRepl;
    }
  });

  // node_modules/highlight.js/lib/languages/erlang.js
  var require_erlang = __commonJS({
    "node_modules/highlight.js/lib/languages/erlang.js"(exports, module) {
      function erlang(hljs) {
        const BASIC_ATOM_RE = "[a-z'][a-zA-Z0-9_']*";
        const FUNCTION_NAME_RE = "(" + BASIC_ATOM_RE + ":" + BASIC_ATOM_RE + "|" + BASIC_ATOM_RE + ")";
        const ERLANG_RESERVED = {
          keyword: "after and andalso|10 band begin bnot bor bsl bzr bxor case catch cond div end fun if let not of orelse|10 query receive rem try when xor maybe else",
          literal: "false true"
        };
        const COMMENT = hljs.COMMENT("%", "$");
        const NUMBER = {
          className: "number",
          begin: "\\b(\\d+(_\\d+)*#[a-fA-F0-9]+(_[a-fA-F0-9]+)*|\\d+(_\\d+)*(\\.\\d+(_\\d+)*)?([eE][-+]?\\d+)?)",
          relevance: 0
        };
        const NAMED_FUN = { begin: "fun\\s+" + BASIC_ATOM_RE + "/\\d+" };
        const FUNCTION_CALL = {
          begin: FUNCTION_NAME_RE + "\\(",
          end: "\\)",
          returnBegin: true,
          relevance: 0,
          contains: [
            {
              begin: FUNCTION_NAME_RE,
              relevance: 0
            },
            {
              begin: "\\(",
              end: "\\)",
              endsWithParent: true,
              returnEnd: true,
              relevance: 0
              // "contains" defined later
            }
          ]
        };
        const TUPLE = {
          begin: /\{/,
          end: /\}/,
          relevance: 0
          // "contains" defined later
        };
        const VAR1 = {
          begin: "\\b_([A-Z][A-Za-z0-9_]*)?",
          relevance: 0
        };
        const VAR2 = {
          begin: "[A-Z][a-zA-Z0-9_]*",
          relevance: 0
        };
        const RECORD_ACCESS = {
          begin: "#" + hljs.UNDERSCORE_IDENT_RE,
          relevance: 0,
          returnBegin: true,
          contains: [
            {
              begin: "#" + hljs.UNDERSCORE_IDENT_RE,
              relevance: 0
            },
            {
              begin: /\{/,
              end: /\}/,
              relevance: 0
              // "contains" defined later
            }
          ]
        };
        const CHAR_LITERAL = {
          scope: "string",
          match: /\$(\\([^0-9]|[0-9]{1,3}|)|.)/
        };
        const TRIPLE_QUOTE = {
          scope: "string",
          match: /"""("*)(?!")[\s\S]*?"""\1/
        };
        const SIGIL = {
          scope: "string",
          contains: [hljs.BACKSLASH_ESCAPE],
          variants: [
            { match: /~\w?"""("*)(?!")[\s\S]*?"""\1/ },
            { begin: /~\w?\(/, end: /\)/ },
            { begin: /~\w?\[/, end: /\]/ },
            { begin: /~\w?{/, end: /}/ },
            { begin: /~\w?</, end: />/ },
            { begin: /~\w?\//, end: /\// },
            { begin: /~\w?\|/, end: /\|/ },
            { begin: /~\w?'/, end: /'/ },
            { begin: /~\w?"/, end: /"/ },
            { begin: /~\w?`/, end: /`/ },
            { begin: /~\w?#/, end: /#/ }
          ]
        };
        const BLOCK_STATEMENTS = {
          beginKeywords: "fun receive if try case maybe",
          end: "end",
          keywords: ERLANG_RESERVED
        };
        BLOCK_STATEMENTS.contains = [
          COMMENT,
          NAMED_FUN,
          hljs.inherit(hljs.APOS_STRING_MODE, { className: "" }),
          BLOCK_STATEMENTS,
          FUNCTION_CALL,
          SIGIL,
          TRIPLE_QUOTE,
          hljs.QUOTE_STRING_MODE,
          NUMBER,
          TUPLE,
          VAR1,
          VAR2,
          RECORD_ACCESS,
          CHAR_LITERAL
        ];
        const BASIC_MODES = [
          COMMENT,
          NAMED_FUN,
          BLOCK_STATEMENTS,
          FUNCTION_CALL,
          SIGIL,
          TRIPLE_QUOTE,
          hljs.QUOTE_STRING_MODE,
          NUMBER,
          TUPLE,
          VAR1,
          VAR2,
          RECORD_ACCESS,
          CHAR_LITERAL
        ];
        FUNCTION_CALL.contains[1].contains = BASIC_MODES;
        TUPLE.contains = BASIC_MODES;
        RECORD_ACCESS.contains[1].contains = BASIC_MODES;
        const DIRECTIVES = [
          "-module",
          "-record",
          "-undef",
          "-export",
          "-ifdef",
          "-ifndef",
          "-author",
          "-copyright",
          "-doc",
          "-moduledoc",
          "-vsn",
          "-import",
          "-include",
          "-include_lib",
          "-compile",
          "-define",
          "-else",
          "-endif",
          "-file",
          "-behaviour",
          "-behavior",
          "-spec",
          "-on_load",
          "-nifs"
        ];
        const PARAMS = {
          className: "params",
          begin: "\\(",
          end: "\\)",
          contains: BASIC_MODES
        };
        return {
          name: "Erlang",
          aliases: ["erl"],
          keywords: ERLANG_RESERVED,
          illegal: "(</|\\*=|\\+=|-=|/\\*|\\*/|\\(\\*|\\*\\))",
          contains: [
            {
              className: "function",
              begin: "^" + BASIC_ATOM_RE + "\\s*\\(",
              end: "->",
              returnBegin: true,
              illegal: "\\(|#|//|/\\*|\\\\|:|;",
              contains: [
                PARAMS,
                hljs.inherit(hljs.TITLE_MODE, { begin: BASIC_ATOM_RE })
              ],
              starts: {
                end: ";|\\.",
                keywords: ERLANG_RESERVED,
                contains: BASIC_MODES
              }
            },
            COMMENT,
            {
              begin: "^-",
              end: "\\.",
              relevance: 0,
              excludeEnd: true,
              returnBegin: true,
              keywords: {
                $pattern: "-" + hljs.IDENT_RE,
                keyword: DIRECTIVES.map((x) => `${x}|1.5`).join(" ")
              },
              contains: [
                PARAMS,
                SIGIL,
                TRIPLE_QUOTE,
                hljs.QUOTE_STRING_MODE
              ]
            },
            NUMBER,
            SIGIL,
            TRIPLE_QUOTE,
            hljs.QUOTE_STRING_MODE,
            RECORD_ACCESS,
            VAR1,
            VAR2,
            TUPLE,
            CHAR_LITERAL,
            { begin: /\.$/ }
            // relevance booster
          ]
        };
      }
      module.exports = erlang;
    }
  });

  // node_modules/highlight.js/lib/languages/excel.js
  var require_excel = __commonJS({
    "node_modules/highlight.js/lib/languages/excel.js"(exports, module) {
      function excel(hljs) {
        const BUILT_INS = [
          "ABS",
          "ACCRINT",
          "ACCRINTM",
          "ACOS",
          "ACOSH",
          "ACOT",
          "ACOTH",
          "AGGREGATE",
          "ADDRESS",
          "AMORDEGRC",
          "AMORLINC",
          "AND",
          "ARABIC",
          "AREAS",
          "ARRAYTOTEXT",
          "ASC",
          "ASIN",
          "ASINH",
          "ATAN",
          "ATAN2",
          "ATANH",
          "AVEDEV",
          "AVERAGE",
          "AVERAGEA",
          "AVERAGEIF",
          "AVERAGEIFS",
          "BAHTTEXT",
          "BASE",
          "BESSELI",
          "BESSELJ",
          "BESSELK",
          "BESSELY",
          "BETADIST",
          "BETA.DIST",
          "BETAINV",
          "BETA.INV",
          "BIN2DEC",
          "BIN2HEX",
          "BIN2OCT",
          "BINOMDIST",
          "BINOM.DIST",
          "BINOM.DIST.RANGE",
          "BINOM.INV",
          "BITAND",
          "BITLSHIFT",
          "BITOR",
          "BITRSHIFT",
          "BITXOR",
          "BYCOL",
          "BYROW",
          "CALL",
          "CEILING",
          "CEILING.MATH",
          "CEILING.PRECISE",
          "CELL",
          "CHAR",
          "CHIDIST",
          "CHIINV",
          "CHITEST",
          "CHISQ.DIST",
          "CHISQ.DIST.RT",
          "CHISQ.INV",
          "CHISQ.INV.RT",
          "CHISQ.TEST",
          "CHOOSE",
          "CHOOSECOLS",
          "CHOOSEROWS",
          "CLEAN",
          "CODE",
          "COLUMN",
          "COLUMNS",
          "COMBIN",
          "COMBINA",
          "COMPLEX",
          "CONCAT",
          "CONCATENATE",
          "CONFIDENCE",
          "CONFIDENCE.NORM",
          "CONFIDENCE.T",
          "CONVERT",
          "CORREL",
          "COS",
          "COSH",
          "COT",
          "COTH",
          "COUNT",
          "COUNTA",
          "COUNTBLANK",
          "COUNTIF",
          "COUNTIFS",
          "COUPDAYBS",
          "COUPDAYS",
          "COUPDAYSNC",
          "COUPNCD",
          "COUPNUM",
          "COUPPCD",
          "COVAR",
          "COVARIANCE.P",
          "COVARIANCE.S",
          "CRITBINOM",
          "CSC",
          "CSCH",
          "CUBEKPIMEMBER",
          "CUBEMEMBER",
          "CUBEMEMBERPROPERTY",
          "CUBERANKEDMEMBER",
          "CUBESET",
          "CUBESETCOUNT",
          "CUBEVALUE",
          "CUMIPMT",
          "CUMPRINC",
          "DATE",
          "DATEDIF",
          "DATEVALUE",
          "DAVERAGE",
          "DAY",
          "DAYS",
          "DAYS360",
          "DB",
          "DBCS",
          "DCOUNT",
          "DCOUNTA",
          "DDB",
          "DEC2BIN",
          "DEC2HEX",
          "DEC2OCT",
          "DECIMAL",
          "DEGREES",
          "DELTA",
          "DEVSQ",
          "DGET",
          "DISC",
          "DMAX",
          "DMIN",
          "DOLLAR",
          "DOLLARDE",
          "DOLLARFR",
          "DPRODUCT",
          "DROP",
          "DSTDEV",
          "DSTDEVP",
          "DSUM",
          "DURATION",
          "DVAR",
          "DVARP",
          "EDATE",
          "EFFECT",
          "ENCODEURL",
          "EOMONTH",
          "ERF",
          "ERF.PRECISE",
          "ERFC",
          "ERFC.PRECISE",
          "ERROR.TYPE",
          "EUROCONVERT",
          "EVEN",
          "EXACT",
          "EXP",
          "EXPAND",
          "EXPON.DIST",
          "EXPONDIST",
          "FACT",
          "FACTDOUBLE",
          "FALSE",
          "F.DIST",
          "FDIST",
          "F.DIST.RT",
          "FILTER",
          "FILTERXML",
          "FIND",
          "FINDB",
          "F.INV",
          "F.INV.RT",
          "FINV",
          "FISHER",
          "FISHERINV",
          "FIXED",
          "FLOOR",
          "FLOOR.MATH",
          "FLOOR.PRECISE",
          "FORECAST",
          "FORECAST.ETS",
          "FORECAST.ETS.CONFINT",
          "FORECAST.ETS.SEASONALITY",
          "FORECAST.ETS.STAT",
          "FORECAST.LINEAR",
          "FORMULATEXT",
          "FREQUENCY",
          "F.TEST",
          "FTEST",
          "FV",
          "FVSCHEDULE",
          "GAMMA",
          "GAMMA.DIST",
          "GAMMADIST",
          "GAMMA.INV",
          "GAMMAINV",
          "GAMMALN",
          "GAMMALN.PRECISE",
          "GAUSS",
          "GCD",
          "GEOMEAN",
          "GESTEP",
          "GETPIVOTDATA",
          "GROWTH",
          "HARMEAN",
          "HEX2BIN",
          "HEX2DEC",
          "HEX2OCT",
          "HLOOKUP",
          "HOUR",
          "HSTACK",
          "HYPERLINK",
          "HYPGEOM.DIST",
          "HYPGEOMDIST",
          "IF",
          "IFERROR",
          "IFNA",
          "IFS",
          "IMABS",
          "IMAGE",
          "IMAGINARY",
          "IMARGUMENT",
          "IMCONJUGATE",
          "IMCOS",
          "IMCOSH",
          "IMCOT",
          "IMCSC",
          "IMCSCH",
          "IMDIV",
          "IMEXP",
          "IMLN",
          "IMLOG10",
          "IMLOG2",
          "IMPOWER",
          "IMPRODUCT",
          "IMREAL",
          "IMSEC",
          "IMSECH",
          "IMSIN",
          "IMSINH",
          "IMSQRT",
          "IMSUB",
          "IMSUM",
          "IMTAN",
          "INDEX",
          "INDIRECT",
          "INFO",
          "INT",
          "INTERCEPT",
          "INTRATE",
          "IPMT",
          "IRR",
          "ISBLANK",
          "ISERR",
          "ISERROR",
          "ISEVEN",
          "ISFORMULA",
          "ISLOGICAL",
          "ISNA",
          "ISNONTEXT",
          "ISNUMBER",
          "ISODD",
          "ISOMITTED",
          "ISREF",
          "ISTEXT",
          "ISO.CEILING",
          "ISOWEEKNUM",
          "ISPMT",
          "JIS",
          "KURT",
          "LAMBDA",
          "LARGE",
          "LCM",
          "LEFT",
          "LEFTB",
          "LEN",
          "LENB",
          "LET",
          "LINEST",
          "LN",
          "LOG",
          "LOG10",
          "LOGEST",
          "LOGINV",
          "LOGNORM.DIST",
          "LOGNORMDIST",
          "LOGNORM.INV",
          "LOOKUP",
          "LOWER",
          "MAKEARRAY",
          "MAP",
          "MATCH",
          "MAX",
          "MAXA",
          "MAXIFS",
          "MDETERM",
          "MDURATION",
          "MEDIAN",
          "MID",
          "MIDB",
          "MIN",
          "MINIFS",
          "MINA",
          "MINUTE",
          "MINVERSE",
          "MIRR",
          "MMULT",
          "MOD",
          "MODE",
          "MODE.MULT",
          "MODE.SNGL",
          "MONTH",
          "MROUND",
          "MULTINOMIAL",
          "MUNIT",
          "N",
          "NA",
          "NEGBINOM.DIST",
          "NEGBINOMDIST",
          "NETWORKDAYS",
          "NETWORKDAYS.INTL",
          "NOMINAL",
          "NORM.DIST",
          "NORMDIST",
          "NORMINV",
          "NORM.INV",
          "NORM.S.DIST",
          "NORMSDIST",
          "NORM.S.INV",
          "NORMSINV",
          "NOT",
          "NOW",
          "NPER",
          "NPV",
          "NUMBERVALUE",
          "OCT2BIN",
          "OCT2DEC",
          "OCT2HEX",
          "ODD",
          "ODDFPRICE",
          "ODDFYIELD",
          "ODDLPRICE",
          "ODDLYIELD",
          "OFFSET",
          "OR",
          "PDURATION",
          "PEARSON",
          "PERCENTILE.EXC",
          "PERCENTILE.INC",
          "PERCENTILE",
          "PERCENTRANK.EXC",
          "PERCENTRANK.INC",
          "PERCENTRANK",
          "PERMUT",
          "PERMUTATIONA",
          "PHI",
          "PHONETIC",
          "PI",
          "PMT",
          "POISSON.DIST",
          "POISSON",
          "POWER",
          "PPMT",
          "PRICE",
          "PRICEDISC",
          "PRICEMAT",
          "PROB",
          "PRODUCT",
          "PROPER",
          "PV",
          "QUARTILE",
          "QUARTILE.EXC",
          "QUARTILE.INC",
          "QUOTIENT",
          "RADIANS",
          "RAND",
          "RANDARRAY",
          "RANDBETWEEN",
          "RANK.AVG",
          "RANK.EQ",
          "RANK",
          "RATE",
          "RECEIVED",
          "REDUCE",
          "REGISTER.ID",
          "REPLACE",
          "REPLACEB",
          "REPT",
          "RIGHT",
          "RIGHTB",
          "ROMAN",
          "ROUND",
          "ROUNDDOWN",
          "ROUNDUP",
          "ROW",
          "ROWS",
          "RRI",
          "RSQ",
          "RTD",
          "SCAN",
          "SEARCH",
          "SEARCHB",
          "SEC",
          "SECH",
          "SECOND",
          "SEQUENCE",
          "SERIESSUM",
          "SHEET",
          "SHEETS",
          "SIGN",
          "SIN",
          "SINH",
          "SKEW",
          "SKEW.P",
          "SLN",
          "SLOPE",
          "SMALL",
          "SORT",
          "SORTBY",
          "SQRT",
          "SQRTPI",
          "SQL.REQUEST",
          "STANDARDIZE",
          "STOCKHISTORY",
          "STDEV",
          "STDEV.P",
          "STDEV.S",
          "STDEVA",
          "STDEVP",
          "STDEVPA",
          "STEYX",
          "SUBSTITUTE",
          "SUBTOTAL",
          "SUM",
          "SUMIF",
          "SUMIFS",
          "SUMPRODUCT",
          "SUMSQ",
          "SUMX2MY2",
          "SUMX2PY2",
          "SUMXMY2",
          "SWITCH",
          "SYD",
          "T",
          "TAN",
          "TANH",
          "TAKE",
          "TBILLEQ",
          "TBILLPRICE",
          "TBILLYIELD",
          "T.DIST",
          "T.DIST.2T",
          "T.DIST.RT",
          "TDIST",
          "TEXT",
          "TEXTAFTER",
          "TEXTBEFORE",
          "TEXTJOIN",
          "TEXTSPLIT",
          "TIME",
          "TIMEVALUE",
          "T.INV",
          "T.INV.2T",
          "TINV",
          "TOCOL",
          "TOROW",
          "TODAY",
          "TRANSPOSE",
          "TREND",
          "TRIM",
          "TRIMMEAN",
          "TRUE",
          "TRUNC",
          "T.TEST",
          "TTEST",
          "TYPE",
          "UNICHAR",
          "UNICODE",
          "UNIQUE",
          "UPPER",
          "VALUE",
          "VALUETOTEXT",
          "VAR",
          "VAR.P",
          "VAR.S",
          "VARA",
          "VARP",
          "VARPA",
          "VDB",
          "VLOOKUP",
          "VSTACK",
          "WEBSERVICE",
          "WEEKDAY",
          "WEEKNUM",
          "WEIBULL",
          "WEIBULL.DIST",
          "WORKDAY",
          "WORKDAY.INTL",
          "WRAPCOLS",
          "WRAPROWS",
          "XIRR",
          "XLOOKUP",
          "XMATCH",
          "XNPV",
          "XOR",
          "YEAR",
          "YEARFRAC",
          "YIELD",
          "YIELDDISC",
          "YIELDMAT",
          "Z.TEST",
          "ZTEST"
        ];
        return {
          name: "Excel formulae",
          aliases: [
            "xlsx",
            "xls"
          ],
          case_insensitive: true,
          keywords: {
            $pattern: /[a-zA-Z][\w\.]*/,
            built_in: BUILT_INS
          },
          contains: [
            {
              /* matches a beginning equal sign found in Excel formula examples */
              begin: /^=/,
              end: /[^=]/,
              returnEnd: true,
              illegal: /=/,
              /* only allow single equal sign at front of line */
              relevance: 10
            },
            /* technically, there can be more than 2 letters in column names, but this prevents conflict with some keywords */
            {
              /* matches a reference to a single cell */
              className: "symbol",
              begin: /\b[A-Z]{1,2}\d+\b/,
              end: /[^\d]/,
              excludeEnd: true,
              relevance: 0
            },
            {
              /* matches a reference to a range of cells */
              className: "symbol",
              begin: /[A-Z]{0,2}\d*:[A-Z]{0,2}\d*/,
              relevance: 0
            },
            hljs.BACKSLASH_ESCAPE,
            hljs.QUOTE_STRING_MODE,
            {
              className: "number",
              begin: hljs.NUMBER_RE + "(%)?",
              relevance: 0
            },
            /* Excel formula comments are done by putting the comment in a function call to N() */
            hljs.COMMENT(
              /\bN\(/,
              /\)/,
              {
                excludeBegin: true,
                excludeEnd: true,
                illegal: /\n/
              }
            )
          ]
        };
      }
      module.exports = excel;
    }
  });

  // node_modules/highlight.js/lib/languages/fix.js
  var require_fix = __commonJS({
    "node_modules/highlight.js/lib/languages/fix.js"(exports, module) {
      function fix(hljs) {
        return {
          name: "FIX",
          contains: [
            {
              begin: /[^\u2401\u0001]+/,
              end: /[\u2401\u0001]/,
              excludeEnd: true,
              returnBegin: true,
              returnEnd: false,
              contains: [
                {
                  begin: /([^\u2401\u0001=]+)/,
                  end: /=([^\u2401\u0001=]+)/,
                  returnEnd: true,
                  returnBegin: false,
                  className: "attr"
                },
                {
                  begin: /=/,
                  end: /([\u2401\u0001])/,
                  excludeEnd: true,
                  excludeBegin: true,
                  className: "string"
                }
              ]
            }
          ],
          case_insensitive: true
        };
      }
      module.exports = fix;
    }
  });

  // node_modules/highlight.js/lib/languages/flix.js
  var require_flix = __commonJS({
    "node_modules/highlight.js/lib/languages/flix.js"(exports, module) {
      function flix(hljs) {
        const CHAR = {
          className: "string",
          begin: /'(.|\\[xXuU][a-zA-Z0-9]+)'/
        };
        const STRING = {
          className: "string",
          variants: [
            {
              begin: '"',
              end: '"'
            }
          ]
        };
        const NAME = {
          className: "title",
          relevance: 0,
          begin: /[^0-9\n\t "'(),.`{}\[\]:;][^\n\t "'(),.`{}\[\]:;]+|[^0-9\n\t "'(),.`{}\[\]:;=]/
        };
        const METHOD = {
          className: "function",
          beginKeywords: "def",
          end: /[:={\[(\n;]/,
          excludeEnd: true,
          contains: [NAME]
        };
        return {
          name: "Flix",
          keywords: {
            keyword: [
              "case",
              "class",
              "def",
              "else",
              "enum",
              "if",
              "impl",
              "import",
              "in",
              "lat",
              "rel",
              "index",
              "let",
              "match",
              "namespace",
              "switch",
              "type",
              "yield",
              "with"
            ],
            literal: [
              "true",
              "false"
            ]
          },
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            CHAR,
            STRING,
            METHOD,
            hljs.C_NUMBER_MODE
          ]
        };
      }
      module.exports = flix;
    }
  });

  // node_modules/highlight.js/lib/languages/fortran.js
  var require_fortran = __commonJS({
    "node_modules/highlight.js/lib/languages/fortran.js"(exports, module) {
      function fortran(hljs) {
        const regex = hljs.regex;
        const PARAMS = {
          className: "params",
          begin: "\\(",
          end: "\\)"
        };
        const COMMENT = { variants: [
          hljs.COMMENT("!", "$", { relevance: 0 }),
          // allow FORTRAN 77 style comments
          hljs.COMMENT("^C[ ]", "$", { relevance: 0 }),
          hljs.COMMENT("^C$", "$", { relevance: 0 })
        ] };
        const OPTIONAL_NUMBER_SUFFIX = /(_[a-z_\d]+)?/;
        const OPTIONAL_NUMBER_EXP = /([de][+-]?\d+)?/;
        const NUMBER = {
          className: "number",
          variants: [
            { begin: regex.concat(/\b\d+/, /\.(\d*)/, OPTIONAL_NUMBER_EXP, OPTIONAL_NUMBER_SUFFIX) },
            { begin: regex.concat(/\b\d+/, OPTIONAL_NUMBER_EXP, OPTIONAL_NUMBER_SUFFIX) },
            { begin: regex.concat(/\.\d+/, OPTIONAL_NUMBER_EXP, OPTIONAL_NUMBER_SUFFIX) }
          ],
          relevance: 0
        };
        const FUNCTION_DEF = {
          className: "function",
          beginKeywords: "subroutine function program",
          illegal: "[${=\\n]",
          contains: [
            hljs.UNDERSCORE_TITLE_MODE,
            PARAMS
          ]
        };
        const STRING = {
          className: "string",
          relevance: 0,
          variants: [
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE
          ]
        };
        const KEYWORDS = [
          "kind",
          "do",
          "concurrent",
          "local",
          "shared",
          "while",
          "private",
          "call",
          "intrinsic",
          "where",
          "elsewhere",
          "type",
          "endtype",
          "endmodule",
          "endselect",
          "endinterface",
          "end",
          "enddo",
          "endif",
          "if",
          "forall",
          "endforall",
          "only",
          "contains",
          "default",
          "return",
          "stop",
          "then",
          "block",
          "endblock",
          "endassociate",
          "public",
          "subroutine|10",
          "function",
          "program",
          ".and.",
          ".or.",
          ".not.",
          ".le.",
          ".eq.",
          ".ge.",
          ".gt.",
          ".lt.",
          "goto",
          "save",
          "else",
          "use",
          "module",
          "select",
          "case",
          "access",
          "blank",
          "direct",
          "exist",
          "file",
          "fmt",
          "form",
          "formatted",
          "iostat",
          "name",
          "named",
          "nextrec",
          "number",
          "opened",
          "rec",
          "recl",
          "sequential",
          "status",
          "unformatted",
          "unit",
          "continue",
          "format",
          "pause",
          "cycle",
          "exit",
          "c_null_char",
          "c_alert",
          "c_backspace",
          "c_form_feed",
          "flush",
          "wait",
          "decimal",
          "round",
          "iomsg",
          "synchronous",
          "nopass",
          "non_overridable",
          "pass",
          "protected",
          "volatile",
          "abstract",
          "extends",
          "import",
          "non_intrinsic",
          "value",
          "deferred",
          "generic",
          "final",
          "enumerator",
          "class",
          "associate",
          "bind",
          "enum",
          "c_int",
          "c_short",
          "c_long",
          "c_long_long",
          "c_signed_char",
          "c_size_t",
          "c_int8_t",
          "c_int16_t",
          "c_int32_t",
          "c_int64_t",
          "c_int_least8_t",
          "c_int_least16_t",
          "c_int_least32_t",
          "c_int_least64_t",
          "c_int_fast8_t",
          "c_int_fast16_t",
          "c_int_fast32_t",
          "c_int_fast64_t",
          "c_intmax_t",
          "C_intptr_t",
          "c_float",
          "c_double",
          "c_long_double",
          "c_float_complex",
          "c_double_complex",
          "c_long_double_complex",
          "c_bool",
          "c_char",
          "c_null_ptr",
          "c_null_funptr",
          "c_new_line",
          "c_carriage_return",
          "c_horizontal_tab",
          "c_vertical_tab",
          "iso_c_binding",
          "c_loc",
          "c_funloc",
          "c_associated",
          "c_f_pointer",
          "c_ptr",
          "c_funptr",
          "iso_fortran_env",
          "character_storage_size",
          "error_unit",
          "file_storage_size",
          "input_unit",
          "iostat_end",
          "iostat_eor",
          "numeric_storage_size",
          "output_unit",
          "c_f_procpointer",
          "ieee_arithmetic",
          "ieee_support_underflow_control",
          "ieee_get_underflow_mode",
          "ieee_set_underflow_mode",
          "newunit",
          "contiguous",
          "recursive",
          "pad",
          "position",
          "action",
          "delim",
          "readwrite",
          "eor",
          "advance",
          "nml",
          "interface",
          "procedure",
          "namelist",
          "include",
          "sequence",
          "elemental",
          "pure",
          "impure",
          "integer",
          "real",
          "character",
          "complex",
          "logical",
          "codimension",
          "dimension",
          "allocatable|10",
          "parameter",
          "external",
          "implicit|10",
          "none",
          "double",
          "precision",
          "assign",
          "intent",
          "optional",
          "pointer",
          "target",
          "in",
          "out",
          "common",
          "equivalence",
          "data"
        ];
        const LITERALS = [
          ".False.",
          ".True."
        ];
        const BUILT_INS = [
          "alog",
          "alog10",
          "amax0",
          "amax1",
          "amin0",
          "amin1",
          "amod",
          "cabs",
          "ccos",
          "cexp",
          "clog",
          "csin",
          "csqrt",
          "dabs",
          "dacos",
          "dasin",
          "datan",
          "datan2",
          "dcos",
          "dcosh",
          "ddim",
          "dexp",
          "dint",
          "dlog",
          "dlog10",
          "dmax1",
          "dmin1",
          "dmod",
          "dnint",
          "dsign",
          "dsin",
          "dsinh",
          "dsqrt",
          "dtan",
          "dtanh",
          "float",
          "iabs",
          "idim",
          "idint",
          "idnint",
          "ifix",
          "isign",
          "max0",
          "max1",
          "min0",
          "min1",
          "sngl",
          "algama",
          "cdabs",
          "cdcos",
          "cdexp",
          "cdlog",
          "cdsin",
          "cdsqrt",
          "cqabs",
          "cqcos",
          "cqexp",
          "cqlog",
          "cqsin",
          "cqsqrt",
          "dcmplx",
          "dconjg",
          "derf",
          "derfc",
          "dfloat",
          "dgamma",
          "dimag",
          "dlgama",
          "iqint",
          "qabs",
          "qacos",
          "qasin",
          "qatan",
          "qatan2",
          "qcmplx",
          "qconjg",
          "qcos",
          "qcosh",
          "qdim",
          "qerf",
          "qerfc",
          "qexp",
          "qgamma",
          "qimag",
          "qlgama",
          "qlog",
          "qlog10",
          "qmax1",
          "qmin1",
          "qmod",
          "qnint",
          "qsign",
          "qsin",
          "qsinh",
          "qsqrt",
          "qtan",
          "qtanh",
          "abs",
          "acos",
          "aimag",
          "aint",
          "anint",
          "asin",
          "atan",
          "atan2",
          "char",
          "cmplx",
          "conjg",
          "cos",
          "cosh",
          "exp",
          "ichar",
          "index",
          "int",
          "log",
          "log10",
          "max",
          "min",
          "nint",
          "sign",
          "sin",
          "sinh",
          "sqrt",
          "tan",
          "tanh",
          "print",
          "write",
          "dim",
          "lge",
          "lgt",
          "lle",
          "llt",
          "mod",
          "nullify",
          "allocate",
          "deallocate",
          "adjustl",
          "adjustr",
          "all",
          "allocated",
          "any",
          "associated",
          "bit_size",
          "btest",
          "ceiling",
          "count",
          "cshift",
          "date_and_time",
          "digits",
          "dot_product",
          "eoshift",
          "epsilon",
          "exponent",
          "floor",
          "fraction",
          "huge",
          "iand",
          "ibclr",
          "ibits",
          "ibset",
          "ieor",
          "ior",
          "ishft",
          "ishftc",
          "lbound",
          "len_trim",
          "matmul",
          "maxexponent",
          "maxloc",
          "maxval",
          "merge",
          "minexponent",
          "minloc",
          "minval",
          "modulo",
          "mvbits",
          "nearest",
          "pack",
          "present",
          "product",
          "radix",
          "random_number",
          "random_seed",
          "range",
          "repeat",
          "reshape",
          "rrspacing",
          "scale",
          "scan",
          "selected_int_kind",
          "selected_real_kind",
          "set_exponent",
          "shape",
          "size",
          "spacing",
          "spread",
          "sum",
          "system_clock",
          "tiny",
          "transpose",
          "trim",
          "ubound",
          "unpack",
          "verify",
          "achar",
          "iachar",
          "transfer",
          "dble",
          "entry",
          "dprod",
          "cpu_time",
          "command_argument_count",
          "get_command",
          "get_command_argument",
          "get_environment_variable",
          "is_iostat_end",
          "ieee_arithmetic",
          "ieee_support_underflow_control",
          "ieee_get_underflow_mode",
          "ieee_set_underflow_mode",
          "is_iostat_eor",
          "move_alloc",
          "new_line",
          "selected_char_kind",
          "same_type_as",
          "extends_type_of",
          "acosh",
          "asinh",
          "atanh",
          "bessel_j0",
          "bessel_j1",
          "bessel_jn",
          "bessel_y0",
          "bessel_y1",
          "bessel_yn",
          "erf",
          "erfc",
          "erfc_scaled",
          "gamma",
          "log_gamma",
          "hypot",
          "norm2",
          "atomic_define",
          "atomic_ref",
          "execute_command_line",
          "leadz",
          "trailz",
          "storage_size",
          "merge_bits",
          "bge",
          "bgt",
          "ble",
          "blt",
          "dshiftl",
          "dshiftr",
          "findloc",
          "iall",
          "iany",
          "iparity",
          "image_index",
          "lcobound",
          "ucobound",
          "maskl",
          "maskr",
          "num_images",
          "parity",
          "popcnt",
          "poppar",
          "shifta",
          "shiftl",
          "shiftr",
          "this_image",
          "sync",
          "change",
          "team",
          "co_broadcast",
          "co_max",
          "co_min",
          "co_sum",
          "co_reduce"
        ];
        return {
          name: "Fortran",
          case_insensitive: true,
          aliases: [
            "f90",
            "f95"
          ],
          keywords: {
            $pattern: /\b[a-z][a-z0-9_]+\b|\.[a-z][a-z0-9_]+\./,
            keyword: KEYWORDS,
            literal: LITERALS,
            built_in: BUILT_INS
          },
          illegal: /\/\*/,
          contains: [
            STRING,
            FUNCTION_DEF,
            // allow `C = value` for assignments so they aren't misdetected
            // as Fortran 77 style comments
            {
              begin: /^C\s*=(?!=)/,
              relevance: 0
            },
            COMMENT,
            NUMBER
          ]
        };
      }
      module.exports = fortran;
    }
  });

  // node_modules/highlight.js/lib/languages/fsharp.js
  var require_fsharp = __commonJS({
    "node_modules/highlight.js/lib/languages/fsharp.js"(exports, module) {
      function escape(value) {
        return new RegExp(value.replace(/[-/\\^$*+?.()|[\]{}]/g, "\\$&"), "m");
      }
      function source(re2) {
        if (!re2) return null;
        if (typeof re2 === "string") return re2;
        return re2.source;
      }
      function lookahead(re2) {
        return concat("(?=", re2, ")");
      }
      function concat(...args) {
        const joined = args.map((x) => source(x)).join("");
        return joined;
      }
      function stripOptionsFromArgs(args) {
        const opts = args[args.length - 1];
        if (typeof opts === "object" && opts.constructor === Object) {
          args.splice(args.length - 1, 1);
          return opts;
        } else {
          return {};
        }
      }
      function either(...args) {
        const opts = stripOptionsFromArgs(args);
        const joined = "(" + (opts.capture ? "" : "?:") + args.map((x) => source(x)).join("|") + ")";
        return joined;
      }
      function fsharp(hljs) {
        const KEYWORDS = [
          "abstract",
          "and",
          "as",
          "assert",
          "base",
          "begin",
          "class",
          "default",
          "delegate",
          "do",
          "done",
          "downcast",
          "downto",
          "elif",
          "else",
          "end",
          "exception",
          "extern",
          // "false", // literal
          "finally",
          "fixed",
          "for",
          "fun",
          "function",
          "global",
          "if",
          "in",
          "inherit",
          "inline",
          "interface",
          "internal",
          "lazy",
          "let",
          "match",
          "member",
          "module",
          "mutable",
          "namespace",
          "new",
          // "not", // built_in
          // "null", // literal
          "of",
          "open",
          "or",
          "override",
          "private",
          "public",
          "rec",
          "return",
          "static",
          "struct",
          "then",
          "to",
          // "true", // literal
          "try",
          "type",
          "upcast",
          "use",
          "val",
          "void",
          "when",
          "while",
          "with",
          "yield"
        ];
        const BANG_KEYWORD_MODE = {
          // monad builder keywords (matches before non-bang keywords)
          scope: "keyword",
          match: /\b(yield|return|let|do|match|use)!/
        };
        const PREPROCESSOR_KEYWORDS = [
          "if",
          "else",
          "endif",
          "line",
          "nowarn",
          "light",
          "r",
          "i",
          "I",
          "load",
          "time",
          "help",
          "quit"
        ];
        const LITERALS = [
          "true",
          "false",
          "null",
          "Some",
          "None",
          "Ok",
          "Error",
          "infinity",
          "infinityf",
          "nan",
          "nanf"
        ];
        const SPECIAL_IDENTIFIERS = [
          "__LINE__",
          "__SOURCE_DIRECTORY__",
          "__SOURCE_FILE__"
        ];
        const KNOWN_TYPES = [
          // basic types
          "bool",
          "byte",
          "sbyte",
          "int8",
          "int16",
          "int32",
          "uint8",
          "uint16",
          "uint32",
          "int",
          "uint",
          "int64",
          "uint64",
          "nativeint",
          "unativeint",
          "decimal",
          "float",
          "double",
          "float32",
          "single",
          "char",
          "string",
          "unit",
          "bigint",
          // other native types or lowercase aliases
          "option",
          "voption",
          "list",
          "array",
          "seq",
          "byref",
          "exn",
          "inref",
          "nativeptr",
          "obj",
          "outref",
          "voidptr",
          // other important FSharp types
          "Result"
        ];
        const BUILTINS = [
          // Somewhat arbitrary list of builtin functions and values.
          // Most of them are declared in Microsoft.FSharp.Core
          // I tried to stay relevant by adding only the most idiomatic
          // and most used symbols that are not already declared as types.
          "not",
          "ref",
          "raise",
          "reraise",
          "dict",
          "readOnlyDict",
          "set",
          "get",
          "enum",
          "sizeof",
          "typeof",
          "typedefof",
          "nameof",
          "nullArg",
          "invalidArg",
          "invalidOp",
          "id",
          "fst",
          "snd",
          "ignore",
          "lock",
          "using",
          "box",
          "unbox",
          "tryUnbox",
          "printf",
          "printfn",
          "sprintf",
          "eprintf",
          "eprintfn",
          "fprintf",
          "fprintfn",
          "failwith",
          "failwithf"
        ];
        const ALL_KEYWORDS = {
          keyword: KEYWORDS,
          literal: LITERALS,
          built_in: BUILTINS,
          "variable.constant": SPECIAL_IDENTIFIERS
        };
        const ML_COMMENT = hljs.COMMENT(/\(\*(?!\))/, /\*\)/, {
          contains: ["self"]
        });
        const COMMENT = {
          variants: [
            ML_COMMENT,
            hljs.C_LINE_COMMENT_MODE
          ]
        };
        const IDENTIFIER_RE = /[a-zA-Z_](\w|')*/;
        const QUOTED_IDENTIFIER = {
          scope: "variable",
          begin: /``/,
          end: /``/
        };
        const BEGIN_GENERIC_TYPE_SYMBOL_RE = /\B('|\^)/;
        const GENERIC_TYPE_SYMBOL = {
          scope: "symbol",
          variants: [
            // the type name is a quoted identifier:
            { match: concat(BEGIN_GENERIC_TYPE_SYMBOL_RE, /``.*?``/) },
            // the type name is a normal identifier (we don't use IDENTIFIER_RE because there cannot be another apostrophe here):
            { match: concat(BEGIN_GENERIC_TYPE_SYMBOL_RE, hljs.UNDERSCORE_IDENT_RE) }
          ],
          relevance: 0
        };
        const makeOperatorMode = function({ includeEqual }) {
          let allOperatorChars;
          if (includeEqual)
            allOperatorChars = "!%&*+-/<=>@^|~?";
          else
            allOperatorChars = "!%&*+-/<>@^|~?";
          const OPERATOR_CHARS = Array.from(allOperatorChars);
          const OPERATOR_CHAR_RE = concat("[", ...OPERATOR_CHARS.map(escape), "]");
          const OPERATOR_CHAR_OR_DOT_RE = either(OPERATOR_CHAR_RE, /\./);
          const OPERATOR_FIRST_CHAR_OF_MULTIPLE_RE = concat(OPERATOR_CHAR_OR_DOT_RE, lookahead(OPERATOR_CHAR_OR_DOT_RE));
          const SYMBOLIC_OPERATOR_RE = either(
            concat(OPERATOR_FIRST_CHAR_OF_MULTIPLE_RE, OPERATOR_CHAR_OR_DOT_RE, "*"),
            // Matches at least 2 chars operators
            concat(OPERATOR_CHAR_RE, "+")
            // Matches at least one char operators
          );
          return {
            scope: "operator",
            match: either(
              // symbolic operators:
              SYMBOLIC_OPERATOR_RE,
              // other symbolic keywords:
              // Type casting and conversion operators:
              /:\?>/,
              /:\?/,
              /:>/,
              /:=/,
              // Reference cell assignment
              /::?/,
              // : or ::
              /\$/
            ),
            // A single $ can be used as an operator
            relevance: 0
          };
        };
        const OPERATOR = makeOperatorMode({ includeEqual: true });
        const OPERATOR_WITHOUT_EQUAL = makeOperatorMode({ includeEqual: false });
        const makeTypeAnnotationMode = function(prefix, prefixScope) {
          return {
            begin: concat(
              // a type annotation is a
              prefix,
              // should be a colon or the 'of' keyword
              lookahead(
                // that has to be followed by
                concat(
                  /\s*/,
                  // optional space
                  either(
                    // then either of:
                    /\w/,
                    // word
                    /'/,
                    // generic type name
                    /\^/,
                    // generic type name
                    /#/,
                    // flexible type name
                    /``/,
                    // quoted type name
                    /\(/,
                    // parens type expression
                    /{\|/
                    // anonymous type annotation
                  )
                )
              )
            ),
            beginScope: prefixScope,
            // BUG: because ending with \n is necessary for some cases, multi-line type annotations are not properly supported.
            // Examples where \n is required at the end:
            // - abstract member definitions in classes: abstract Property : int * string
            // - return type annotations: let f f' = f' () : returnTypeAnnotation
            // - record fields definitions: { A : int \n B : string }
            end: lookahead(
              either(
                /\n/,
                /=/
              )
            ),
            relevance: 0,
            // we need the known types, and we need the type constraint keywords and literals. e.g.: when 'a : null
            keywords: hljs.inherit(ALL_KEYWORDS, { type: KNOWN_TYPES }),
            contains: [
              COMMENT,
              GENERIC_TYPE_SYMBOL,
              hljs.inherit(QUOTED_IDENTIFIER, { scope: null }),
              // match to avoid strange patterns inside that may break the parsing
              OPERATOR_WITHOUT_EQUAL
            ]
          };
        };
        const TYPE_ANNOTATION = makeTypeAnnotationMode(/:/, "operator");
        const DISCRIMINATED_UNION_TYPE_ANNOTATION = makeTypeAnnotationMode(/\bof\b/, "keyword");
        const TYPE_DECLARATION = {
          begin: [
            /(^|\s+)/,
            // prevents matching the following: `match s.stype with`
            /type/,
            /\s+/,
            IDENTIFIER_RE
          ],
          beginScope: {
            2: "keyword",
            4: "title.class"
          },
          end: lookahead(/\(|=|$/),
          keywords: ALL_KEYWORDS,
          // match keywords in type constraints. e.g.: when 'a : null
          contains: [
            COMMENT,
            hljs.inherit(QUOTED_IDENTIFIER, { scope: null }),
            // match to avoid strange patterns inside that may break the parsing
            GENERIC_TYPE_SYMBOL,
            {
              // For visual consistency, highlight type brackets as operators.
              scope: "operator",
              match: /<|>/
            },
            TYPE_ANNOTATION
            // generic types can have constraints, which are type annotations. e.g. type MyType<'T when 'T : delegate<obj * string>> =
          ]
        };
        const COMPUTATION_EXPRESSION = {
          // computation expressions:
          scope: "computation-expression",
          // BUG: might conflict with record deconstruction. e.g. let f { Name = name } = name // will highlight f
          match: /\b[_a-z]\w*(?=\s*\{)/
        };
        const PREPROCESSOR = {
          // preprocessor directives and fsi commands:
          begin: [
            /^\s*/,
            concat(/#/, either(...PREPROCESSOR_KEYWORDS)),
            /\b/
          ],
          beginScope: { 2: "meta" },
          end: lookahead(/\s|$/)
        };
        const NUMBER = {
          variants: [
            hljs.BINARY_NUMBER_MODE,
            hljs.C_NUMBER_MODE
          ]
        };
        const QUOTED_STRING = {
          scope: "string",
          begin: /"/,
          end: /"/,
          contains: [
            hljs.BACKSLASH_ESCAPE
          ]
        };
        const VERBATIM_STRING = {
          scope: "string",
          begin: /@"/,
          end: /"/,
          contains: [
            {
              match: /""/
              // escaped "
            },
            hljs.BACKSLASH_ESCAPE
          ]
        };
        const TRIPLE_QUOTED_STRING = {
          scope: "string",
          begin: /"""/,
          end: /"""/,
          relevance: 2
        };
        const SUBST = {
          scope: "subst",
          begin: /\{/,
          end: /\}/,
          keywords: ALL_KEYWORDS
        };
        const INTERPOLATED_STRING = {
          scope: "string",
          begin: /\$"/,
          end: /"/,
          contains: [
            {
              match: /\{\{/
              // escaped {
            },
            {
              match: /\}\}/
              // escaped }
            },
            hljs.BACKSLASH_ESCAPE,
            SUBST
          ]
        };
        const INTERPOLATED_VERBATIM_STRING = {
          scope: "string",
          begin: /(\$@|@\$)"/,
          end: /"/,
          contains: [
            {
              match: /\{\{/
              // escaped {
            },
            {
              match: /\}\}/
              // escaped }
            },
            {
              match: /""/
            },
            hljs.BACKSLASH_ESCAPE,
            SUBST
          ]
        };
        const INTERPOLATED_TRIPLE_QUOTED_STRING = {
          scope: "string",
          begin: /\$"""/,
          end: /"""/,
          contains: [
            {
              match: /\{\{/
              // escaped {
            },
            {
              match: /\}\}/
              // escaped }
            },
            SUBST
          ],
          relevance: 2
        };
        const CHAR_LITERAL = {
          scope: "string",
          match: concat(
            /'/,
            either(
              /[^\\']/,
              // either a single non escaped char...
              /\\(?:.|\d{3}|x[a-fA-F\d]{2}|u[a-fA-F\d]{4}|U[a-fA-F\d]{8})/
              // ...or an escape sequence
            ),
            /'/
          )
        };
        SUBST.contains = [
          INTERPOLATED_VERBATIM_STRING,
          INTERPOLATED_STRING,
          VERBATIM_STRING,
          QUOTED_STRING,
          CHAR_LITERAL,
          BANG_KEYWORD_MODE,
          COMMENT,
          QUOTED_IDENTIFIER,
          TYPE_ANNOTATION,
          COMPUTATION_EXPRESSION,
          PREPROCESSOR,
          NUMBER,
          GENERIC_TYPE_SYMBOL,
          OPERATOR
        ];
        const STRING = {
          variants: [
            INTERPOLATED_TRIPLE_QUOTED_STRING,
            INTERPOLATED_VERBATIM_STRING,
            INTERPOLATED_STRING,
            TRIPLE_QUOTED_STRING,
            VERBATIM_STRING,
            QUOTED_STRING,
            CHAR_LITERAL
          ]
        };
        return {
          name: "F#",
          aliases: [
            "fs",
            "f#"
          ],
          keywords: ALL_KEYWORDS,
          illegal: /\/\*/,
          classNameAliases: {
            "computation-expression": "keyword"
          },
          contains: [
            BANG_KEYWORD_MODE,
            STRING,
            COMMENT,
            QUOTED_IDENTIFIER,
            TYPE_DECLARATION,
            {
              // e.g. [<Attributes("")>] or [<``module``: MyCustomAttributeThatWorksOnModules>]
              // or [<Sealed; NoEquality; NoComparison; CompiledName("FSharpAsync`1")>]
              scope: "meta",
              begin: /\[</,
              end: />\]/,
              relevance: 2,
              contains: [
                QUOTED_IDENTIFIER,
                // can contain any constant value
                TRIPLE_QUOTED_STRING,
                VERBATIM_STRING,
                QUOTED_STRING,
                CHAR_LITERAL,
                NUMBER
              ]
            },
            DISCRIMINATED_UNION_TYPE_ANNOTATION,
            TYPE_ANNOTATION,
            COMPUTATION_EXPRESSION,
            PREPROCESSOR,
            NUMBER,
            GENERIC_TYPE_SYMBOL,
            OPERATOR
          ]
        };
      }
      module.exports = fsharp;
    }
  });

  // node_modules/highlight.js/lib/languages/gams.js
  var require_gams = __commonJS({
    "node_modules/highlight.js/lib/languages/gams.js"(exports, module) {
      function gams(hljs) {
        const regex = hljs.regex;
        const KEYWORDS = {
          keyword: "abort acronym acronyms alias all and assign binary card diag display else eq file files for free ge gt if integer le loop lt maximizing minimizing model models ne negative no not option options or ord positive prod put putpage puttl repeat sameas semicont semiint smax smin solve sos1 sos2 sum system table then until using while xor yes",
          literal: "eps inf na",
          built_in: "abs arccos arcsin arctan arctan2 Beta betaReg binomial ceil centropy cos cosh cvPower div div0 eDist entropy errorf execSeed exp fact floor frac gamma gammaReg log logBeta logGamma log10 log2 mapVal max min mod ncpCM ncpF ncpVUpow ncpVUsin normal pi poly power randBinomial randLinear randTriangle round rPower sigmoid sign signPower sin sinh slexp sllog10 slrec sqexp sqlog10 sqr sqrec sqrt tan tanh trunc uniform uniformInt vcPower bool_and bool_eqv bool_imp bool_not bool_or bool_xor ifThen rel_eq rel_ge rel_gt rel_le rel_lt rel_ne gday gdow ghour gleap gmillisec gminute gmonth gsecond gyear jdate jnow jstart jtime errorLevel execError gamsRelease gamsVersion handleCollect handleDelete handleStatus handleSubmit heapFree heapLimit heapSize jobHandle jobKill jobStatus jobTerminate licenseLevel licenseStatus maxExecError sleep timeClose timeComp timeElapsed timeExec timeStart"
        };
        const PARAMS = {
          className: "params",
          begin: /\(/,
          end: /\)/,
          excludeBegin: true,
          excludeEnd: true
        };
        const SYMBOLS = {
          className: "symbol",
          variants: [
            { begin: /=[lgenxc]=/ },
            { begin: /\$/ }
          ]
        };
        const QSTR = {
          // One-line quoted comment string
          className: "comment",
          variants: [
            {
              begin: "'",
              end: "'"
            },
            {
              begin: '"',
              end: '"'
            }
          ],
          illegal: "\\n",
          contains: [hljs.BACKSLASH_ESCAPE]
        };
        const ASSIGNMENT = {
          begin: "/",
          end: "/",
          keywords: KEYWORDS,
          contains: [
            QSTR,
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.APOS_STRING_MODE,
            hljs.C_NUMBER_MODE
          ]
        };
        const COMMENT_WORD = /[a-z0-9&#*=?@\\><:,()$[\]_.{}!+%^-]+/;
        const DESCTEXT = {
          // Parameter/set/variable description text
          begin: /[a-z][a-z0-9_]*(\([a-z0-9_, ]*\))?[ \t]+/,
          excludeBegin: true,
          end: "$",
          endsWithParent: true,
          contains: [
            QSTR,
            ASSIGNMENT,
            {
              className: "comment",
              // one comment word, then possibly more
              begin: regex.concat(
                COMMENT_WORD,
                // [ ] because \s would be too broad (matching newlines)
                regex.anyNumberOfTimes(regex.concat(/[ ]+/, COMMENT_WORD))
              ),
              relevance: 0
            }
          ]
        };
        return {
          name: "GAMS",
          aliases: ["gms"],
          case_insensitive: true,
          keywords: KEYWORDS,
          contains: [
            hljs.COMMENT(/^\$ontext/, /^\$offtext/),
            {
              className: "meta",
              begin: "^\\$[a-z0-9]+",
              end: "$",
              returnBegin: true,
              contains: [
                {
                  className: "keyword",
                  begin: "^\\$[a-z0-9]+"
                }
              ]
            },
            hljs.COMMENT("^\\*", "$"),
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.APOS_STRING_MODE,
            // Declarations
            {
              beginKeywords: "set sets parameter parameters variable variables scalar scalars equation equations",
              end: ";",
              contains: [
                hljs.COMMENT("^\\*", "$"),
                hljs.C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE,
                hljs.QUOTE_STRING_MODE,
                hljs.APOS_STRING_MODE,
                ASSIGNMENT,
                DESCTEXT
              ]
            },
            {
              // table environment
              beginKeywords: "table",
              end: ";",
              returnBegin: true,
              contains: [
                {
                  // table header row
                  beginKeywords: "table",
                  end: "$",
                  contains: [DESCTEXT]
                },
                hljs.COMMENT("^\\*", "$"),
                hljs.C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE,
                hljs.QUOTE_STRING_MODE,
                hljs.APOS_STRING_MODE,
                hljs.C_NUMBER_MODE
                // Table does not contain DESCTEXT or ASSIGNMENT
              ]
            },
            // Function definitions
            {
              className: "function",
              begin: /^[a-z][a-z0-9_,\-+' ()$]+\.{2}/,
              returnBegin: true,
              contains: [
                {
                  // Function title
                  className: "title",
                  begin: /^[a-z0-9_]+/
                },
                PARAMS,
                SYMBOLS
              ]
            },
            hljs.C_NUMBER_MODE,
            SYMBOLS
          ]
        };
      }
      module.exports = gams;
    }
  });

  // node_modules/highlight.js/lib/languages/gauss.js
  var require_gauss = __commonJS({
    "node_modules/highlight.js/lib/languages/gauss.js"(exports, module) {
      function gauss(hljs) {
        const KEYWORDS = {
          keyword: "bool break call callexe checkinterrupt clear clearg closeall cls comlog compile continue create debug declare delete disable dlibrary dllcall do dos ed edit else elseif enable end endfor endif endp endo errorlog errorlogat expr external fn for format goto gosub graph if keyword let lib library line load loadarray loadexe loadf loadk loadm loadp loads loadx local locate loopnextindex lprint lpwidth lshow matrix msym ndpclex new open output outwidth plot plotsym pop prcsn print printdos proc push retp return rndcon rndmod rndmult rndseed run save saveall screen scroll setarray show sparse stop string struct system trace trap threadfor threadendfor threadbegin threadjoin threadstat threadend until use while winprint ne ge le gt lt and xor or not eq eqv",
          built_in: "abs acf aconcat aeye amax amean AmericanBinomCall AmericanBinomCall_Greeks AmericanBinomCall_ImpVol AmericanBinomPut AmericanBinomPut_Greeks AmericanBinomPut_ImpVol AmericanBSCall AmericanBSCall_Greeks AmericanBSCall_ImpVol AmericanBSPut AmericanBSPut_Greeks AmericanBSPut_ImpVol amin amult annotationGetDefaults annotationSetBkd annotationSetFont annotationSetLineColor annotationSetLineStyle annotationSetLineThickness annualTradingDays arccos arcsin areshape arrayalloc arrayindex arrayinit arraytomat asciiload asclabel astd astds asum atan atan2 atranspose axmargin balance band bandchol bandcholsol bandltsol bandrv bandsolpd bar base10 begwind besselj bessely beta box boxcox cdfBeta cdfBetaInv cdfBinomial cdfBinomialInv cdfBvn cdfBvn2 cdfBvn2e cdfCauchy cdfCauchyInv cdfChic cdfChii cdfChinc cdfChincInv cdfExp cdfExpInv cdfFc cdfFnc cdfFncInv cdfGam cdfGenPareto cdfHyperGeo cdfLaplace cdfLaplaceInv cdfLogistic cdfLogisticInv cdfmControlCreate cdfMvn cdfMvn2e cdfMvnce cdfMvne cdfMvt2e cdfMvtce cdfMvte cdfN cdfN2 cdfNc cdfNegBinomial cdfNegBinomialInv cdfNi cdfPoisson cdfPoissonInv cdfRayleigh cdfRayleighInv cdfTc cdfTci cdfTnc cdfTvn cdfWeibull cdfWeibullInv cdir ceil ChangeDir chdir chiBarSquare chol choldn cholsol cholup chrs close code cols colsf combinate combinated complex con cond conj cons ConScore contour conv convertsatostr convertstrtosa corrm corrms corrvc corrx corrxs cos cosh counts countwts crossprd crout croutp csrcol csrlin csvReadM csvReadSA cumprodc cumsumc curve cvtos datacreate datacreatecomplex datalist dataload dataloop dataopen datasave date datestr datestring datestrymd dayinyr dayofweek dbAddDatabase dbClose dbCommit dbCreateQuery dbExecQuery dbGetConnectOptions dbGetDatabaseName dbGetDriverName dbGetDrivers dbGetHostName dbGetLastErrorNum dbGetLastErrorText dbGetNumericalPrecPolicy dbGetPassword dbGetPort dbGetTableHeaders dbGetTables dbGetUserName dbHasFeature dbIsDriverAvailable dbIsOpen dbIsOpenError dbOpen dbQueryBindValue dbQueryClear dbQueryCols dbQueryExecPrepared dbQueryFetchAllM dbQueryFetchAllSA dbQueryFetchOneM dbQueryFetchOneSA dbQueryFinish dbQueryGetBoundValue dbQueryGetBoundValues dbQueryGetField dbQueryGetLastErrorNum dbQueryGetLastErrorText dbQueryGetLastInsertID dbQueryGetLastQuery dbQueryGetPosition dbQueryIsActive dbQueryIsForwardOnly dbQueryIsNull dbQueryIsSelect dbQueryIsValid dbQueryPrepare dbQueryRows dbQuerySeek dbQuerySeekFirst dbQuerySeekLast dbQuerySeekNext dbQuerySeekPrevious dbQuerySetForwardOnly dbRemoveDatabase dbRollback dbSetConnectOptions dbSetDatabaseName dbSetHostName dbSetNumericalPrecPolicy dbSetPort dbSetUserName dbTransaction DeleteFile delif delrows denseToSp denseToSpRE denToZero design det detl dfft dffti diag diagrv digamma doswin DOSWinCloseall DOSWinOpen dotfeq dotfeqmt dotfge dotfgemt dotfgt dotfgtmt dotfle dotflemt dotflt dotfltmt dotfne dotfnemt draw drop dsCreate dstat dstatmt dstatmtControlCreate dtdate dtday dttime dttodtv dttostr dttoutc dtvnormal dtvtodt dtvtoutc dummy dummybr dummydn eig eigh eighv eigv elapsedTradingDays endwind envget eof eqSolve eqSolvemt eqSolvemtControlCreate eqSolvemtOutCreate eqSolveset erf erfc erfccplx erfcplx error etdays ethsec etstr EuropeanBinomCall EuropeanBinomCall_Greeks EuropeanBinomCall_ImpVol EuropeanBinomPut EuropeanBinomPut_Greeks EuropeanBinomPut_ImpVol EuropeanBSCall EuropeanBSCall_Greeks EuropeanBSCall_ImpVol EuropeanBSPut EuropeanBSPut_Greeks EuropeanBSPut_ImpVol exctsmpl exec execbg exp extern eye fcheckerr fclearerr feq feqmt fflush fft ffti fftm fftmi fftn fge fgemt fgets fgetsa fgetsat fgetst fgt fgtmt fileinfo filesa fle flemt floor flt fltmt fmod fne fnemt fonts fopen formatcv formatnv fputs fputst fseek fstrerror ftell ftocv ftos ftostrC gamma gammacplx gammaii gausset gdaAppend gdaCreate gdaDStat gdaDStatMat gdaGetIndex gdaGetName gdaGetNames gdaGetOrders gdaGetType gdaGetTypes gdaGetVarInfo gdaIsCplx gdaLoad gdaPack gdaRead gdaReadByIndex gdaReadSome gdaReadSparse gdaReadStruct gdaReportVarInfo gdaSave gdaUpdate gdaUpdateAndPack gdaVars gdaWrite gdaWrite32 gdaWriteSome getarray getdims getf getGAUSShome getmatrix getmatrix4D getname getnamef getNextTradingDay getNextWeekDay getnr getorders getpath getPreviousTradingDay getPreviousWeekDay getRow getscalar3D getscalar4D getTrRow getwind glm gradcplx gradMT gradMTm gradMTT gradMTTm gradp graphprt graphset hasimag header headermt hess hessMT hessMTg hessMTgw hessMTm hessMTmw hessMTT hessMTTg hessMTTgw hessMTTm hessMTw hessp hist histf histp hsec imag indcv indexcat indices indices2 indicesf indicesfn indnv indsav integrate1d integrateControlCreate intgrat2 intgrat3 inthp1 inthp2 inthp3 inthp4 inthpControlCreate intquad1 intquad2 intquad3 intrleav intrleavsa intrsect intsimp inv invpd invswp iscplx iscplxf isden isinfnanmiss ismiss key keyav keyw lag lag1 lagn lapEighb lapEighi lapEighvb lapEighvi lapgEig lapgEigh lapgEighv lapgEigv lapgSchur lapgSvdcst lapgSvds lapgSvdst lapSvdcusv lapSvds lapSvdusv ldlp ldlsol linSolve listwise ln lncdfbvn lncdfbvn2 lncdfmvn lncdfn lncdfn2 lncdfnc lnfact lngammacplx lnpdfmvn lnpdfmvt lnpdfn lnpdft loadd loadstruct loadwind loess loessmt loessmtControlCreate log loglog logx logy lower lowmat lowmat1 ltrisol lu lusol machEpsilon make makevars makewind margin matalloc matinit mattoarray maxbytes maxc maxindc maxv maxvec mbesselei mbesselei0 mbesselei1 mbesseli mbesseli0 mbesseli1 meanc median mergeby mergevar minc minindc minv miss missex missrv moment momentd movingave movingaveExpwgt movingaveWgt nextindex nextn nextnevn nextwind ntos null null1 numCombinations ols olsmt olsmtControlCreate olsqr olsqr2 olsqrmt ones optn optnevn orth outtyp pacf packedToSp packr parse pause pdfCauchy pdfChi pdfExp pdfGenPareto pdfHyperGeo pdfLaplace pdfLogistic pdfn pdfPoisson pdfRayleigh pdfWeibull pi pinv pinvmt plotAddArrow plotAddBar plotAddBox plotAddHist plotAddHistF plotAddHistP plotAddPolar plotAddScatter plotAddShape plotAddTextbox plotAddTS plotAddXY plotArea plotBar plotBox plotClearLayout plotContour plotCustomLayout plotGetDefaults plotHist plotHistF plotHistP plotLayout plotLogLog plotLogX plotLogY plotOpenWindow plotPolar plotSave plotScatter plotSetAxesPen plotSetBar plotSetBarFill plotSetBarStacked plotSetBkdColor plotSetFill plotSetGrid plotSetLegend plotSetLineColor plotSetLineStyle plotSetLineSymbol plotSetLineThickness plotSetNewWindow plotSetTitle plotSetWhichYAxis plotSetXAxisShow plotSetXLabel plotSetXRange plotSetXTicInterval plotSetXTicLabel plotSetYAxisShow plotSetYLabel plotSetYRange plotSetZAxisShow plotSetZLabel plotSurface plotTS plotXY polar polychar polyeval polygamma polyint polymake polymat polymroot polymult polyroot pqgwin previousindex princomp printfm printfmt prodc psi putarray putf putvals pvCreate pvGetIndex pvGetParNames pvGetParVector pvLength pvList pvPack pvPacki pvPackm pvPackmi pvPacks pvPacksi pvPacksm pvPacksmi pvPutParVector pvTest pvUnpack QNewton QNewtonmt QNewtonmtControlCreate QNewtonmtOutCreate QNewtonSet QProg QProgmt QProgmtInCreate qqr qqre qqrep qr qre qrep qrsol qrtsol qtyr qtyre qtyrep quantile quantiled qyr qyre qyrep qz rank rankindx readr real reclassify reclassifyCuts recode recserar recsercp recserrc rerun rescale reshape rets rev rfft rffti rfftip rfftn rfftnp rfftp rndBernoulli rndBeta rndBinomial rndCauchy rndChiSquare rndCon rndCreateState rndExp rndGamma rndGeo rndGumbel rndHyperGeo rndi rndKMbeta rndKMgam rndKMi rndKMn rndKMnb rndKMp rndKMu rndKMvm rndLaplace rndLCbeta rndLCgam rndLCi rndLCn rndLCnb rndLCp rndLCu rndLCvm rndLogNorm rndMTu rndMVn rndMVt rndn rndnb rndNegBinomial rndp rndPoisson rndRayleigh rndStateSkip rndu rndvm rndWeibull rndWishart rotater round rows rowsf rref sampleData satostrC saved saveStruct savewind scale scale3d scalerr scalinfnanmiss scalmiss schtoc schur searchsourcepath seekr select selif seqa seqm setdif setdifsa setvars setvwrmode setwind shell shiftr sin singleindex sinh sleep solpd sortc sortcc sortd sorthc sorthcc sortind sortindc sortmc sortr sortrc spBiconjGradSol spChol spConjGradSol spCreate spDenseSubmat spDiagRvMat spEigv spEye spLDL spline spLU spNumNZE spOnes spreadSheetReadM spreadSheetReadSA spreadSheetWrite spScale spSubmat spToDense spTrTDense spTScalar spZeros sqpSolve sqpSolveMT sqpSolveMTControlCreate sqpSolveMTlagrangeCreate sqpSolveMToutCreate sqpSolveSet sqrt statements stdc stdsc stocv stof strcombine strindx strlen strput strrindx strsect strsplit strsplitPad strtodt strtof strtofcplx strtriml strtrimr strtrunc strtruncl strtruncpad strtruncr submat subscat substute subvec sumc sumr surface svd svd1 svd2 svdcusv svds svdusv sysstate tab tan tanh tempname time timedt timestr timeutc title tkf2eps tkf2ps tocart todaydt toeplitz token topolar trapchk trigamma trimr trunc type typecv typef union unionsa uniqindx uniqindxsa unique uniquesa upmat upmat1 upper utctodt utctodtv utrisol vals varCovMS varCovXS varget vargetl varmall varmares varput varputl vartypef vcm vcms vcx vcxs vec vech vecr vector vget view viewxyz vlist vnamecv volume vput vread vtypecv wait waitc walkindex where window writer xlabel xlsGetSheetCount xlsGetSheetSize xlsGetSheetTypes xlsMakeRange xlsReadM xlsReadSA xlsWrite xlsWriteM xlsWriteSA xpnd xtics xy xyz ylabel ytics zeros zeta zlabel ztics cdfEmpirical dot h5create h5open h5read h5readAttribute h5write h5writeAttribute ldl plotAddErrorBar plotAddSurface plotCDFEmpirical plotSetColormap plotSetContourLabels plotSetLegendFont plotSetTextInterpreter plotSetXTicCount plotSetYTicCount plotSetZLevels powerm strjoin sylvester strtrim",
          literal: "DB_AFTER_LAST_ROW DB_ALL_TABLES DB_BATCH_OPERATIONS DB_BEFORE_FIRST_ROW DB_BLOB DB_EVENT_NOTIFICATIONS DB_FINISH_QUERY DB_HIGH_PRECISION DB_LAST_INSERT_ID DB_LOW_PRECISION_DOUBLE DB_LOW_PRECISION_INT32 DB_LOW_PRECISION_INT64 DB_LOW_PRECISION_NUMBERS DB_MULTIPLE_RESULT_SETS DB_NAMED_PLACEHOLDERS DB_POSITIONAL_PLACEHOLDERS DB_PREPARED_QUERIES DB_QUERY_SIZE DB_SIMPLE_LOCKING DB_SYSTEM_TABLES DB_TABLES DB_TRANSACTIONS DB_UNICODE DB_VIEWS __STDIN __STDOUT __STDERR __FILE_DIR"
        };
        const AT_COMMENT_MODE = hljs.COMMENT("@", "@");
        const PREPROCESSOR = {
          className: "meta",
          begin: "#",
          end: "$",
          keywords: { keyword: "define definecs|10 undef ifdef ifndef iflight ifdllcall ifmac ifos2win ifunix else endif lineson linesoff srcfile srcline" },
          contains: [
            {
              begin: /\\\n/,
              relevance: 0
            },
            {
              beginKeywords: "include",
              end: "$",
              keywords: { keyword: "include" },
              contains: [
                {
                  className: "string",
                  begin: '"',
                  end: '"',
                  illegal: "\\n"
                }
              ]
            },
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            AT_COMMENT_MODE
          ]
        };
        const STRUCT_TYPE = {
          begin: /\bstruct\s+/,
          end: /\s/,
          keywords: "struct",
          contains: [
            {
              className: "type",
              begin: hljs.UNDERSCORE_IDENT_RE,
              relevance: 0
            }
          ]
        };
        const PARSE_PARAMS = [
          {
            className: "params",
            begin: /\(/,
            end: /\)/,
            excludeBegin: true,
            excludeEnd: true,
            endsWithParent: true,
            relevance: 0,
            contains: [
              {
                // dots
                className: "literal",
                begin: /\.\.\./
              },
              hljs.C_NUMBER_MODE,
              hljs.C_BLOCK_COMMENT_MODE,
              AT_COMMENT_MODE,
              STRUCT_TYPE
            ]
          }
        ];
        const FUNCTION_DEF = {
          className: "title",
          begin: hljs.UNDERSCORE_IDENT_RE,
          relevance: 0
        };
        const DEFINITION = function(beginKeywords, end, inherits) {
          const mode = hljs.inherit(
            {
              className: "function",
              beginKeywords,
              end,
              excludeEnd: true,
              contains: [].concat(PARSE_PARAMS)
            },
            {}
          );
          mode.contains.push(FUNCTION_DEF);
          mode.contains.push(hljs.C_NUMBER_MODE);
          mode.contains.push(hljs.C_BLOCK_COMMENT_MODE);
          mode.contains.push(AT_COMMENT_MODE);
          return mode;
        };
        const BUILT_IN_REF = {
          // these are explicitly named internal function calls
          className: "built_in",
          begin: "\\b(" + KEYWORDS.built_in.split(" ").join("|") + ")\\b"
        };
        const STRING_REF = {
          className: "string",
          begin: '"',
          end: '"',
          contains: [hljs.BACKSLASH_ESCAPE],
          relevance: 0
        };
        const FUNCTION_REF = {
          // className: "fn_ref",
          begin: hljs.UNDERSCORE_IDENT_RE + "\\s*\\(",
          returnBegin: true,
          keywords: KEYWORDS,
          relevance: 0,
          contains: [
            { beginKeywords: KEYWORDS.keyword },
            BUILT_IN_REF,
            {
              // ambiguously named function calls get a relevance of 0
              className: "built_in",
              begin: hljs.UNDERSCORE_IDENT_RE,
              relevance: 0
            }
          ]
        };
        const FUNCTION_REF_PARAMS = {
          // className: "fn_ref_params",
          begin: /\(/,
          end: /\)/,
          relevance: 0,
          keywords: {
            built_in: KEYWORDS.built_in,
            literal: KEYWORDS.literal
          },
          contains: [
            hljs.C_NUMBER_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            AT_COMMENT_MODE,
            BUILT_IN_REF,
            FUNCTION_REF,
            STRING_REF,
            "self"
          ]
        };
        FUNCTION_REF.contains.push(FUNCTION_REF_PARAMS);
        return {
          name: "GAUSS",
          aliases: ["gss"],
          case_insensitive: true,
          // language is case-insensitive
          keywords: KEYWORDS,
          illegal: /(\{[%#]|[%#]\}| <- )/,
          contains: [
            hljs.C_NUMBER_MODE,
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            AT_COMMENT_MODE,
            STRING_REF,
            PREPROCESSOR,
            {
              className: "keyword",
              begin: /\bexternal (matrix|string|array|sparse matrix|struct|proc|keyword|fn)/
            },
            DEFINITION("proc keyword", ";"),
            DEFINITION("fn", "="),
            {
              beginKeywords: "for threadfor",
              end: /;/,
              // end: /\(/,
              relevance: 0,
              contains: [
                hljs.C_BLOCK_COMMENT_MODE,
                AT_COMMENT_MODE,
                FUNCTION_REF_PARAMS
              ]
            },
            {
              // custom method guard
              // excludes method names from keyword processing
              variants: [
                { begin: hljs.UNDERSCORE_IDENT_RE + "\\." + hljs.UNDERSCORE_IDENT_RE },
                { begin: hljs.UNDERSCORE_IDENT_RE + "\\s*=" }
              ],
              relevance: 0
            },
            FUNCTION_REF,
            STRUCT_TYPE
          ]
        };
      }
      module.exports = gauss;
    }
  });

  // node_modules/highlight.js/lib/languages/gcode.js
  var require_gcode = __commonJS({
    "node_modules/highlight.js/lib/languages/gcode.js"(exports, module) {
      function gcode(hljs) {
        const regex = hljs.regex;
        const GCODE_KEYWORDS = {
          $pattern: /[A-Z]+|%/,
          keyword: [
            // conditions
            "THEN",
            "ELSE",
            "ENDIF",
            "IF",
            // controls
            "GOTO",
            "DO",
            "WHILE",
            "WH",
            "END",
            "CALL",
            // scoping
            "SUB",
            "ENDSUB",
            // comparisons
            "EQ",
            "NE",
            "LT",
            "GT",
            "LE",
            "GE",
            "AND",
            "OR",
            "XOR",
            // start/end of program
            "%"
          ],
          built_in: [
            "ATAN",
            "ABS",
            "ACOS",
            "ASIN",
            "COS",
            "EXP",
            "FIX",
            "FUP",
            "ROUND",
            "LN",
            "SIN",
            "SQRT",
            "TAN",
            "EXISTS"
          ]
        };
        const LETTER_BOUNDARY_RE = /\b/;
        function LETTER_BOUNDARY_CALLBACK(matchdata, response) {
          if (matchdata.index === 0) {
            return;
          }
          const charBeforeMatch = matchdata.input[matchdata.index - 1];
          if (charBeforeMatch >= "0" && charBeforeMatch <= "9") {
            return;
          }
          if (charBeforeMatch === "_") {
            return;
          }
          response.ignoreMatch();
        }
        const NUMBER_RE = /[+-]?((\.\d+)|(\d+)(\.\d*)?)/;
        const GENERAL_MISC_FUNCTION_RE = /[GM]\s*\d+(\.\d+)?/;
        const TOOLS_RE = /T\s*\d+/;
        const SUBROUTINE_RE = /O\s*\d+/;
        const SUBROUTINE_NAMED_RE = /O<.+>/;
        const AXES_RE = /[ABCUVWXYZ]\s*/;
        const PARAMETERS_RE = /[FHIJKPQRS]\s*/;
        const GCODE_CODE = [
          // comments
          hljs.COMMENT(/\(/, /\)/),
          hljs.COMMENT(/;/, /$/),
          hljs.APOS_STRING_MODE,
          hljs.QUOTE_STRING_MODE,
          hljs.C_NUMBER_MODE,
          // gcodes
          {
            scope: "title.function",
            variants: [
              // G General functions: G0, G5.1, G5.2, …
              // M Misc functions: M0, M55.6, M199, …
              { match: regex.concat(LETTER_BOUNDARY_RE, GENERAL_MISC_FUNCTION_RE) },
              {
                begin: GENERAL_MISC_FUNCTION_RE,
                "on:begin": LETTER_BOUNDARY_CALLBACK
              },
              // T Tools
              { match: regex.concat(LETTER_BOUNDARY_RE, TOOLS_RE) },
              {
                begin: TOOLS_RE,
                "on:begin": LETTER_BOUNDARY_CALLBACK
              }
            ]
          },
          {
            scope: "symbol",
            variants: [
              // O Subroutine ID: O100, O110, …
              { match: regex.concat(LETTER_BOUNDARY_RE, SUBROUTINE_RE) },
              {
                begin: SUBROUTINE_RE,
                "on:begin": LETTER_BOUNDARY_CALLBACK
              },
              // O Subroutine name: O<some>, …
              { match: regex.concat(LETTER_BOUNDARY_RE, SUBROUTINE_NAMED_RE) },
              {
                begin: SUBROUTINE_NAMED_RE,
                "on:begin": LETTER_BOUNDARY_CALLBACK
              },
              // Checksum at end of line: *71, *199, …
              { match: /\*\s*\d+\s*$/ }
            ]
          },
          {
            scope: "operator",
            // N Line number: N1, N2, N1020, …
            match: /^N\s*\d+/
          },
          {
            scope: "variable",
            match: /-?#\s*\d+/
          },
          {
            scope: "property",
            // Physical axes,
            variants: [
              { match: regex.concat(LETTER_BOUNDARY_RE, AXES_RE, NUMBER_RE) },
              {
                begin: regex.concat(AXES_RE, NUMBER_RE),
                "on:begin": LETTER_BOUNDARY_CALLBACK
              }
            ]
          },
          {
            scope: "params",
            // Different types of parameters
            variants: [
              { match: regex.concat(LETTER_BOUNDARY_RE, PARAMETERS_RE, NUMBER_RE) },
              {
                begin: regex.concat(PARAMETERS_RE, NUMBER_RE),
                "on:begin": LETTER_BOUNDARY_CALLBACK
              }
            ]
          }
        ];
        return {
          name: "G-code (ISO 6983)",
          aliases: ["nc"],
          // Some implementations (CNC controls) of G-code are interoperable with uppercase and lowercase letters seamlessly.
          // However, most prefer all uppercase and uppercase is customary.
          case_insensitive: true,
          // TODO: post v12 with the use of look-behind this can be enabled
          disableAutodetect: true,
          keywords: GCODE_KEYWORDS,
          contains: GCODE_CODE
        };
      }
      module.exports = gcode;
    }
  });

  // node_modules/highlight.js/lib/languages/gherkin.js
  var require_gherkin = __commonJS({
    "node_modules/highlight.js/lib/languages/gherkin.js"(exports, module) {
      function gherkin(hljs) {
        return {
          name: "Gherkin",
          aliases: ["feature"],
          keywords: "Feature Background Ability Business Need Scenario Scenarios Scenario Outline Scenario Template Examples Given And Then But When",
          contains: [
            {
              className: "symbol",
              begin: "\\*",
              relevance: 0
            },
            {
              className: "meta",
              begin: "@[^@\\s]+"
            },
            {
              begin: "\\|",
              end: "\\|\\w*$",
              contains: [
                {
                  className: "string",
                  begin: "[^|]+"
                }
              ]
            },
            {
              className: "variable",
              begin: "<",
              end: ">"
            },
            hljs.HASH_COMMENT_MODE,
            {
              className: "string",
              begin: '"""',
              end: '"""'
            },
            hljs.QUOTE_STRING_MODE
          ]
        };
      }
      module.exports = gherkin;
    }
  });

  // node_modules/highlight.js/lib/languages/glsl.js
  var require_glsl = __commonJS({
    "node_modules/highlight.js/lib/languages/glsl.js"(exports, module) {
      function glsl(hljs) {
        return {
          name: "GLSL",
          keywords: {
            keyword: (
              // Statements
              "break continue discard do else for if return while switch case default attribute binding buffer ccw centroid centroid varying coherent column_major const cw depth_any depth_greater depth_less depth_unchanged early_fragment_tests equal_spacing flat fractional_even_spacing fractional_odd_spacing highp in index inout invariant invocations isolines layout line_strip lines lines_adjacency local_size_x local_size_y local_size_z location lowp max_vertices mediump noperspective offset origin_upper_left out packed patch pixel_center_integer point_mode points precise precision quads r11f_g11f_b10f r16 r16_snorm r16f r16i r16ui r32f r32i r32ui r8 r8_snorm r8i r8ui readonly restrict rg16 rg16_snorm rg16f rg16i rg16ui rg32f rg32i rg32ui rg8 rg8_snorm rg8i rg8ui rgb10_a2 rgb10_a2ui rgba16 rgba16_snorm rgba16f rgba16i rgba16ui rgba32f rgba32i rgba32ui rgba8 rgba8_snorm rgba8i rgba8ui row_major sample shared smooth std140 std430 stream triangle_strip triangles triangles_adjacency uniform varying vertices volatile writeonly"
            ),
            type: "atomic_uint bool bvec2 bvec3 bvec4 dmat2 dmat2x2 dmat2x3 dmat2x4 dmat3 dmat3x2 dmat3x3 dmat3x4 dmat4 dmat4x2 dmat4x3 dmat4x4 double dvec2 dvec3 dvec4 float iimage1D iimage1DArray iimage2D iimage2DArray iimage2DMS iimage2DMSArray iimage2DRect iimage3D iimageBuffer iimageCube iimageCubeArray image1D image1DArray image2D image2DArray image2DMS image2DMSArray image2DRect image3D imageBuffer imageCube imageCubeArray int isampler1D isampler1DArray isampler2D isampler2DArray isampler2DMS isampler2DMSArray isampler2DRect isampler3D isamplerBuffer isamplerCube isamplerCubeArray ivec2 ivec3 ivec4 mat2 mat2x2 mat2x3 mat2x4 mat3 mat3x2 mat3x3 mat3x4 mat4 mat4x2 mat4x3 mat4x4 sampler1D sampler1DArray sampler1DArrayShadow sampler1DShadow sampler2D sampler2DArray sampler2DArrayShadow sampler2DMS sampler2DMSArray sampler2DRect sampler2DRectShadow sampler2DShadow sampler3D samplerBuffer samplerCube samplerCubeArray samplerCubeArrayShadow samplerCubeShadow image1D uimage1DArray uimage2D uimage2DArray uimage2DMS uimage2DMSArray uimage2DRect uimage3D uimageBuffer uimageCube uimageCubeArray uint usampler1D usampler1DArray usampler2D usampler2DArray usampler2DMS usampler2DMSArray usampler2DRect usampler3D samplerBuffer usamplerCube usamplerCubeArray uvec2 uvec3 uvec4 vec2 vec3 vec4 void",
            built_in: (
              // Constants
              "gl_MaxAtomicCounterBindings gl_MaxAtomicCounterBufferSize gl_MaxClipDistances gl_MaxClipPlanes gl_MaxCombinedAtomicCounterBuffers gl_MaxCombinedAtomicCounters gl_MaxCombinedImageUniforms gl_MaxCombinedImageUnitsAndFragmentOutputs gl_MaxCombinedTextureImageUnits gl_MaxComputeAtomicCounterBuffers gl_MaxComputeAtomicCounters gl_MaxComputeImageUniforms gl_MaxComputeTextureImageUnits gl_MaxComputeUniformComponents gl_MaxComputeWorkGroupCount gl_MaxComputeWorkGroupSize gl_MaxDrawBuffers gl_MaxFragmentAtomicCounterBuffers gl_MaxFragmentAtomicCounters gl_MaxFragmentImageUniforms gl_MaxFragmentInputComponents gl_MaxFragmentInputVectors gl_MaxFragmentUniformComponents gl_MaxFragmentUniformVectors gl_MaxGeometryAtomicCounterBuffers gl_MaxGeometryAtomicCounters gl_MaxGeometryImageUniforms gl_MaxGeometryInputComponents gl_MaxGeometryOutputComponents gl_MaxGeometryOutputVertices gl_MaxGeometryTextureImageUnits gl_MaxGeometryTotalOutputComponents gl_MaxGeometryUniformComponents gl_MaxGeometryVaryingComponents gl_MaxImageSamples gl_MaxImageUnits gl_MaxLights gl_MaxPatchVertices gl_MaxProgramTexelOffset gl_MaxTessControlAtomicCounterBuffers gl_MaxTessControlAtomicCounters gl_MaxTessControlImageUniforms gl_MaxTessControlInputComponents gl_MaxTessControlOutputComponents gl_MaxTessControlTextureImageUnits gl_MaxTessControlTotalOutputComponents gl_MaxTessControlUniformComponents gl_MaxTessEvaluationAtomicCounterBuffers gl_MaxTessEvaluationAtomicCounters gl_MaxTessEvaluationImageUniforms gl_MaxTessEvaluationInputComponents gl_MaxTessEvaluationOutputComponents gl_MaxTessEvaluationTextureImageUnits gl_MaxTessEvaluationUniformComponents gl_MaxTessGenLevel gl_MaxTessPatchComponents gl_MaxTextureCoords gl_MaxTextureImageUnits gl_MaxTextureUnits gl_MaxVaryingComponents gl_MaxVaryingFloats gl_MaxVaryingVectors gl_MaxVertexAtomicCounterBuffers gl_MaxVertexAtomicCounters gl_MaxVertexAttribs gl_MaxVertexImageUniforms gl_MaxVertexOutputComponents gl_MaxVertexOutputVectors gl_MaxVertexTextureImageUnits gl_MaxVertexUniformComponents gl_MaxVertexUniformVectors gl_MaxViewports gl_MinProgramTexelOffset gl_BackColor gl_BackLightModelProduct gl_BackLightProduct gl_BackMaterial gl_BackSecondaryColor gl_ClipDistance gl_ClipPlane gl_ClipVertex gl_Color gl_DepthRange gl_EyePlaneQ gl_EyePlaneR gl_EyePlaneS gl_EyePlaneT gl_Fog gl_FogCoord gl_FogFragCoord gl_FragColor gl_FragCoord gl_FragData gl_FragDepth gl_FrontColor gl_FrontFacing gl_FrontLightModelProduct gl_FrontLightProduct gl_FrontMaterial gl_FrontSecondaryColor gl_GlobalInvocationID gl_InstanceID gl_InvocationID gl_Layer gl_LightModel gl_LightSource gl_LocalInvocationID gl_LocalInvocationIndex gl_ModelViewMatrix gl_ModelViewMatrixInverse gl_ModelViewMatrixInverseTranspose gl_ModelViewMatrixTranspose gl_ModelViewProjectionMatrix gl_ModelViewProjectionMatrixInverse gl_ModelViewProjectionMatrixInverseTranspose gl_ModelViewProjectionMatrixTranspose gl_MultiTexCoord0 gl_MultiTexCoord1 gl_MultiTexCoord2 gl_MultiTexCoord3 gl_MultiTexCoord4 gl_MultiTexCoord5 gl_MultiTexCoord6 gl_MultiTexCoord7 gl_Normal gl_NormalMatrix gl_NormalScale gl_NumSamples gl_NumWorkGroups gl_ObjectPlaneQ gl_ObjectPlaneR gl_ObjectPlaneS gl_ObjectPlaneT gl_PatchVerticesIn gl_Point gl_PointCoord gl_PointSize gl_Position gl_PrimitiveID gl_PrimitiveIDIn gl_ProjectionMatrix gl_ProjectionMatrixInverse gl_ProjectionMatrixInverseTranspose gl_ProjectionMatrixTranspose gl_SampleID gl_SampleMask gl_SampleMaskIn gl_SamplePosition gl_SecondaryColor gl_TessCoord gl_TessLevelInner gl_TessLevelOuter gl_TexCoord gl_TextureEnvColor gl_TextureMatrix gl_TextureMatrixInverse gl_TextureMatrixInverseTranspose gl_TextureMatrixTranspose gl_Vertex gl_VertexID gl_ViewportIndex gl_WorkGroupID gl_WorkGroupSize gl_in gl_out EmitStreamVertex EmitVertex EndPrimitive EndStreamPrimitive abs acos acosh all any asin asinh atan atanh atomicAdd atomicAnd atomicCompSwap atomicCounter atomicCounterDecrement atomicCounterIncrement atomicExchange atomicMax atomicMin atomicOr atomicXor barrier bitCount bitfieldExtract bitfieldInsert bitfieldReverse ceil clamp cos cosh cross dFdx dFdy degrees determinant distance dot equal exp exp2 faceforward findLSB findMSB floatBitsToInt floatBitsToUint floor fma fract frexp ftransform fwidth greaterThan greaterThanEqual groupMemoryBarrier imageAtomicAdd imageAtomicAnd imageAtomicCompSwap imageAtomicExchange imageAtomicMax imageAtomicMin imageAtomicOr imageAtomicXor imageLoad imageSize imageStore imulExtended intBitsToFloat interpolateAtCentroid interpolateAtOffset interpolateAtSample inverse inversesqrt isinf isnan ldexp length lessThan lessThanEqual log log2 matrixCompMult max memoryBarrier memoryBarrierAtomicCounter memoryBarrierBuffer memoryBarrierImage memoryBarrierShared min mix mod modf noise1 noise2 noise3 noise4 normalize not notEqual outerProduct packDouble2x32 packHalf2x16 packSnorm2x16 packSnorm4x8 packUnorm2x16 packUnorm4x8 pow radians reflect refract round roundEven shadow1D shadow1DLod shadow1DProj shadow1DProjLod shadow2D shadow2DLod shadow2DProj shadow2DProjLod sign sin sinh smoothstep sqrt step tan tanh texelFetch texelFetchOffset texture texture1D texture1DLod texture1DProj texture1DProjLod texture2D texture2DLod texture2DProj texture2DProjLod texture3D texture3DLod texture3DProj texture3DProjLod textureCube textureCubeLod textureGather textureGatherOffset textureGatherOffsets textureGrad textureGradOffset textureLod textureLodOffset textureOffset textureProj textureProjGrad textureProjGradOffset textureProjLod textureProjLodOffset textureProjOffset textureQueryLevels textureQueryLod textureSize transpose trunc uaddCarry uintBitsToFloat umulExtended unpackDouble2x32 unpackHalf2x16 unpackSnorm2x16 unpackSnorm4x8 unpackUnorm2x16 unpackUnorm4x8 usubBorrow"
            ),
            literal: "true false"
          },
          illegal: '"',
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.C_NUMBER_MODE,
            {
              className: "meta",
              begin: "#",
              end: "$"
            }
          ]
        };
      }
      module.exports = glsl;
    }
  });

  // node_modules/highlight.js/lib/languages/gml.js
  var require_gml = __commonJS({
    "node_modules/highlight.js/lib/languages/gml.js"(exports, module) {
      function gml(hljs) {
        const KEYWORDS = [
          "#endregion",
          "#macro",
          "#region",
          "and",
          "begin",
          "break",
          "case",
          "constructor",
          "continue",
          "default",
          "delete",
          "div",
          "do",
          "else",
          "end",
          "enum",
          "exit",
          "for",
          "function",
          "globalvar",
          "if",
          "mod",
          "new",
          "not",
          "or",
          "repeat",
          "return",
          "static",
          "switch",
          "then",
          "until",
          "var",
          "while",
          "with",
          "xor"
        ];
        const BUILT_INS = [
          "abs",
          "alarm_get",
          "alarm_set",
          "angle_difference",
          "animcurve_channel_evaluate",
          "animcurve_channel_new",
          "animcurve_create",
          "animcurve_destroy",
          "animcurve_exists",
          "animcurve_get",
          "animcurve_get_channel",
          "animcurve_get_channel_index",
          "animcurve_point_new",
          "ansi_char",
          "application_get_position",
          "application_surface_draw_enable",
          "application_surface_enable",
          "application_surface_is_enabled",
          "arccos",
          "arcsin",
          "arctan",
          "arctan2",
          "array_all",
          "array_any",
          "array_concat",
          "array_contains",
          "array_contains_ext",
          "array_copy",
          "array_copy_while",
          "array_create",
          "array_create_ext",
          "array_delete",
          "array_equals",
          "array_filter",
          "array_filter_ext",
          "array_find_index",
          "array_first",
          "array_foreach",
          "array_get",
          "array_get_index",
          "array_insert",
          "array_intersection",
          "array_last",
          "array_length",
          "array_map",
          "array_map_ext",
          "array_pop",
          "array_push",
          "array_reduce",
          "array_resize",
          "array_reverse",
          "array_reverse_ext",
          "array_set",
          "array_shuffle",
          "array_shuffle_ext",
          "array_sort",
          "array_union",
          "array_unique",
          "array_unique_ext",
          "asset_add_tags",
          "asset_clear_tags",
          "asset_get_ids",
          "asset_get_index",
          "asset_get_tags",
          "asset_get_type",
          "asset_has_any_tag",
          "asset_has_tags",
          "asset_remove_tags",
          "audio_bus_clear_emitters",
          "audio_bus_create",
          "audio_bus_get_emitters",
          "audio_channel_num",
          "audio_create_buffer_sound",
          "audio_create_play_queue",
          "audio_create_stream",
          "audio_create_sync_group",
          "audio_debug",
          "audio_destroy_stream",
          "audio_destroy_sync_group",
          "audio_effect_create",
          "audio_emitter_bus",
          "audio_emitter_create",
          "audio_emitter_exists",
          "audio_emitter_falloff",
          "audio_emitter_free",
          "audio_emitter_gain",
          "audio_emitter_get_bus",
          "audio_emitter_get_gain",
          "audio_emitter_get_listener_mask",
          "audio_emitter_get_pitch",
          "audio_emitter_get_vx",
          "audio_emitter_get_vy",
          "audio_emitter_get_vz",
          "audio_emitter_get_x",
          "audio_emitter_get_y",
          "audio_emitter_get_z",
          "audio_emitter_pitch",
          "audio_emitter_position",
          "audio_emitter_set_listener_mask",
          "audio_emitter_velocity",
          "audio_exists",
          "audio_falloff_set_model",
          "audio_free_buffer_sound",
          "audio_free_play_queue",
          "audio_get_listener_count",
          "audio_get_listener_info",
          "audio_get_listener_mask",
          "audio_get_master_gain",
          "audio_get_name",
          "audio_get_recorder_count",
          "audio_get_recorder_info",
          "audio_get_type",
          "audio_group_get_assets",
          "audio_group_get_gain",
          "audio_group_is_loaded",
          "audio_group_load",
          "audio_group_load_progress",
          "audio_group_name",
          "audio_group_set_gain",
          "audio_group_stop_all",
          "audio_group_unload",
          "audio_is_paused",
          "audio_is_playing",
          "audio_listener_get_data",
          "audio_listener_orientation",
          "audio_listener_position",
          "audio_listener_set_orientation",
          "audio_listener_set_position",
          "audio_listener_set_velocity",
          "audio_listener_velocity",
          "audio_master_gain",
          "audio_pause_all",
          "audio_pause_sound",
          "audio_pause_sync_group",
          "audio_play_in_sync_group",
          "audio_play_sound",
          "audio_play_sound_at",
          "audio_play_sound_ext",
          "audio_play_sound_on",
          "audio_queue_sound",
          "audio_resume_all",
          "audio_resume_sound",
          "audio_resume_sync_group",
          "audio_set_listener_mask",
          "audio_set_master_gain",
          "audio_sound_gain",
          "audio_sound_get_audio_group",
          "audio_sound_get_gain",
          "audio_sound_get_listener_mask",
          "audio_sound_get_loop",
          "audio_sound_get_loop_end",
          "audio_sound_get_loop_start",
          "audio_sound_get_pitch",
          "audio_sound_get_track_position",
          "audio_sound_is_playable",
          "audio_sound_length",
          "audio_sound_loop",
          "audio_sound_loop_end",
          "audio_sound_loop_start",
          "audio_sound_pitch",
          "audio_sound_set_listener_mask",
          "audio_sound_set_track_position",
          "audio_start_recording",
          "audio_start_sync_group",
          "audio_stop_all",
          "audio_stop_recording",
          "audio_stop_sound",
          "audio_stop_sync_group",
          "audio_sync_group_debug",
          "audio_sync_group_get_track_pos",
          "audio_sync_group_is_paused",
          "audio_sync_group_is_playing",
          "audio_system_is_available",
          "audio_system_is_initialised",
          "base64_decode",
          "base64_encode",
          "bool",
          "browser_input_capture",
          "buffer_async_group_begin",
          "buffer_async_group_end",
          "buffer_async_group_option",
          "buffer_base64_decode",
          "buffer_base64_decode_ext",
          "buffer_base64_encode",
          "buffer_compress",
          "buffer_copy",
          "buffer_copy_from_vertex_buffer",
          "buffer_copy_stride",
          "buffer_crc32",
          "buffer_create",
          "buffer_create_from_vertex_buffer",
          "buffer_create_from_vertex_buffer_ext",
          "buffer_decompress",
          "buffer_delete",
          "buffer_exists",
          "buffer_fill",
          "buffer_get_address",
          "buffer_get_alignment",
          "buffer_get_size",
          "buffer_get_surface",
          "buffer_get_type",
          "buffer_load",
          "buffer_load_async",
          "buffer_load_ext",
          "buffer_load_partial",
          "buffer_md5",
          "buffer_peek",
          "buffer_poke",
          "buffer_read",
          "buffer_resize",
          "buffer_save",
          "buffer_save_async",
          "buffer_save_ext",
          "buffer_seek",
          "buffer_set_surface",
          "buffer_set_used_size",
          "buffer_sha1",
          "buffer_sizeof",
          "buffer_tell",
          "buffer_write",
          "call_cancel",
          "call_later",
          "camera_apply",
          "camera_copy_transforms",
          "camera_create",
          "camera_create_view",
          "camera_destroy",
          "camera_get_active",
          "camera_get_begin_script",
          "camera_get_default",
          "camera_get_end_script",
          "camera_get_proj_mat",
          "camera_get_update_script",
          "camera_get_view_angle",
          "camera_get_view_border_x",
          "camera_get_view_border_y",
          "camera_get_view_height",
          "camera_get_view_mat",
          "camera_get_view_speed_x",
          "camera_get_view_speed_y",
          "camera_get_view_target",
          "camera_get_view_width",
          "camera_get_view_x",
          "camera_get_view_y",
          "camera_set_begin_script",
          "camera_set_default",
          "camera_set_end_script",
          "camera_set_proj_mat",
          "camera_set_update_script",
          "camera_set_view_angle",
          "camera_set_view_border",
          "camera_set_view_mat",
          "camera_set_view_pos",
          "camera_set_view_size",
          "camera_set_view_speed",
          "camera_set_view_target",
          "ceil",
          "choose",
          "chr",
          "clamp",
          "clickable_add",
          "clickable_add_ext",
          "clickable_change",
          "clickable_change_ext",
          "clickable_delete",
          "clickable_exists",
          "clickable_set_style",
          "clipboard_get_text",
          "clipboard_has_text",
          "clipboard_set_text",
          "cloud_file_save",
          "cloud_string_save",
          "cloud_synchronise",
          "code_is_compiled",
          "collision_circle",
          "collision_circle_list",
          "collision_ellipse",
          "collision_ellipse_list",
          "collision_line",
          "collision_line_list",
          "collision_point",
          "collision_point_list",
          "collision_rectangle",
          "collision_rectangle_list",
          "color_get_blue",
          "color_get_green",
          "color_get_hue",
          "color_get_red",
          "color_get_saturation",
          "color_get_value",
          "colour_get_blue",
          "colour_get_green",
          "colour_get_hue",
          "colour_get_red",
          "colour_get_saturation",
          "colour_get_value",
          "cos",
          "darccos",
          "darcsin",
          "darctan",
          "darctan2",
          "date_compare_date",
          "date_compare_datetime",
          "date_compare_time",
          "date_create_datetime",
          "date_current_datetime",
          "date_date_of",
          "date_date_string",
          "date_datetime_string",
          "date_day_span",
          "date_days_in_month",
          "date_days_in_year",
          "date_get_day",
          "date_get_day_of_year",
          "date_get_hour",
          "date_get_hour_of_year",
          "date_get_minute",
          "date_get_minute_of_year",
          "date_get_month",
          "date_get_second",
          "date_get_second_of_year",
          "date_get_timezone",
          "date_get_week",
          "date_get_weekday",
          "date_get_year",
          "date_hour_span",
          "date_inc_day",
          "date_inc_hour",
          "date_inc_minute",
          "date_inc_month",
          "date_inc_second",
          "date_inc_week",
          "date_inc_year",
          "date_is_today",
          "date_leap_year",
          "date_minute_span",
          "date_month_span",
          "date_second_span",
          "date_set_timezone",
          "date_time_of",
          "date_time_string",
          "date_valid_datetime",
          "date_week_span",
          "date_year_span",
          "db_to_lin",
          "dbg_add_font_glyphs",
          "dbg_button",
          "dbg_checkbox",
          "dbg_color",
          "dbg_colour",
          "dbg_drop_down",
          "dbg_same_line",
          "dbg_section",
          "dbg_section_delete",
          "dbg_section_exists",
          "dbg_slider",
          "dbg_slider_int",
          "dbg_sprite",
          "dbg_text",
          "dbg_text_input",
          "dbg_view",
          "dbg_view_delete",
          "dbg_view_exists",
          "dbg_watch",
          "dcos",
          "debug_event",
          "debug_get_callstack",
          "degtorad",
          "device_get_tilt_x",
          "device_get_tilt_y",
          "device_get_tilt_z",
          "device_is_keypad_open",
          "device_mouse_check_button",
          "device_mouse_check_button_pressed",
          "device_mouse_check_button_released",
          "device_mouse_dbclick_enable",
          "device_mouse_raw_x",
          "device_mouse_raw_y",
          "device_mouse_x",
          "device_mouse_x_to_gui",
          "device_mouse_y",
          "device_mouse_y_to_gui",
          "directory_create",
          "directory_destroy",
          "directory_exists",
          "display_get_dpi_x",
          "display_get_dpi_y",
          "display_get_frequency",
          "display_get_gui_height",
          "display_get_gui_width",
          "display_get_height",
          "display_get_orientation",
          "display_get_sleep_margin",
          "display_get_timing_method",
          "display_get_width",
          "display_mouse_get_x",
          "display_mouse_get_y",
          "display_mouse_set",
          "display_reset",
          "display_set_gui_maximise",
          "display_set_gui_maximize",
          "display_set_gui_size",
          "display_set_sleep_margin",
          "display_set_timing_method",
          "display_set_ui_visibility",
          "distance_to_object",
          "distance_to_point",
          "dot_product",
          "dot_product_3d",
          "dot_product_3d_normalised",
          "dot_product_3d_normalized",
          "dot_product_normalised",
          "dot_product_normalized",
          "draw_arrow",
          "draw_button",
          "draw_circle",
          "draw_circle_color",
          "draw_circle_colour",
          "draw_clear",
          "draw_clear_alpha",
          "draw_ellipse",
          "draw_ellipse_color",
          "draw_ellipse_colour",
          "draw_enable_drawevent",
          "draw_enable_skeleton_blendmodes",
          "draw_enable_swf_aa",
          "draw_flush",
          "draw_get_alpha",
          "draw_get_color",
          "draw_get_colour",
          "draw_get_enable_skeleton_blendmodes",
          "draw_get_font",
          "draw_get_halign",
          "draw_get_lighting",
          "draw_get_swf_aa_level",
          "draw_get_valign",
          "draw_getpixel",
          "draw_getpixel_ext",
          "draw_healthbar",
          "draw_highscore",
          "draw_light_define_ambient",
          "draw_light_define_direction",
          "draw_light_define_point",
          "draw_light_enable",
          "draw_light_get",
          "draw_light_get_ambient",
          "draw_line",
          "draw_line_color",
          "draw_line_colour",
          "draw_line_width",
          "draw_line_width_color",
          "draw_line_width_colour",
          "draw_path",
          "draw_point",
          "draw_point_color",
          "draw_point_colour",
          "draw_primitive_begin",
          "draw_primitive_begin_texture",
          "draw_primitive_end",
          "draw_rectangle",
          "draw_rectangle_color",
          "draw_rectangle_colour",
          "draw_roundrect",
          "draw_roundrect_color",
          "draw_roundrect_color_ext",
          "draw_roundrect_colour",
          "draw_roundrect_colour_ext",
          "draw_roundrect_ext",
          "draw_self",
          "draw_set_alpha",
          "draw_set_circle_precision",
          "draw_set_color",
          "draw_set_colour",
          "draw_set_font",
          "draw_set_halign",
          "draw_set_lighting",
          "draw_set_swf_aa_level",
          "draw_set_valign",
          "draw_skeleton",
          "draw_skeleton_collision",
          "draw_skeleton_instance",
          "draw_skeleton_time",
          "draw_sprite",
          "draw_sprite_ext",
          "draw_sprite_general",
          "draw_sprite_part",
          "draw_sprite_part_ext",
          "draw_sprite_pos",
          "draw_sprite_stretched",
          "draw_sprite_stretched_ext",
          "draw_sprite_tiled",
          "draw_sprite_tiled_ext",
          "draw_surface",
          "draw_surface_ext",
          "draw_surface_general",
          "draw_surface_part",
          "draw_surface_part_ext",
          "draw_surface_stretched",
          "draw_surface_stretched_ext",
          "draw_surface_tiled",
          "draw_surface_tiled_ext",
          "draw_text",
          "draw_text_color",
          "draw_text_colour",
          "draw_text_ext",
          "draw_text_ext_color",
          "draw_text_ext_colour",
          "draw_text_ext_transformed",
          "draw_text_ext_transformed_color",
          "draw_text_ext_transformed_colour",
          "draw_text_transformed",
          "draw_text_transformed_color",
          "draw_text_transformed_colour",
          "draw_texture_flush",
          "draw_tile",
          "draw_tilemap",
          "draw_triangle",
          "draw_triangle_color",
          "draw_triangle_colour",
          "draw_vertex",
          "draw_vertex_color",
          "draw_vertex_colour",
          "draw_vertex_texture",
          "draw_vertex_texture_color",
          "draw_vertex_texture_colour",
          "ds_exists",
          "ds_grid_add",
          "ds_grid_add_disk",
          "ds_grid_add_grid_region",
          "ds_grid_add_region",
          "ds_grid_clear",
          "ds_grid_copy",
          "ds_grid_create",
          "ds_grid_destroy",
          "ds_grid_get",
          "ds_grid_get_disk_max",
          "ds_grid_get_disk_mean",
          "ds_grid_get_disk_min",
          "ds_grid_get_disk_sum",
          "ds_grid_get_max",
          "ds_grid_get_mean",
          "ds_grid_get_min",
          "ds_grid_get_sum",
          "ds_grid_height",
          "ds_grid_multiply",
          "ds_grid_multiply_disk",
          "ds_grid_multiply_grid_region",
          "ds_grid_multiply_region",
          "ds_grid_read",
          "ds_grid_resize",
          "ds_grid_set",
          "ds_grid_set_disk",
          "ds_grid_set_grid_region",
          "ds_grid_set_region",
          "ds_grid_shuffle",
          "ds_grid_sort",
          "ds_grid_to_mp_grid",
          "ds_grid_value_disk_exists",
          "ds_grid_value_disk_x",
          "ds_grid_value_disk_y",
          "ds_grid_value_exists",
          "ds_grid_value_x",
          "ds_grid_value_y",
          "ds_grid_width",
          "ds_grid_write",
          "ds_list_add",
          "ds_list_clear",
          "ds_list_copy",
          "ds_list_create",
          "ds_list_delete",
          "ds_list_destroy",
          "ds_list_empty",
          "ds_list_find_index",
          "ds_list_find_value",
          "ds_list_insert",
          "ds_list_is_list",
          "ds_list_is_map",
          "ds_list_mark_as_list",
          "ds_list_mark_as_map",
          "ds_list_read",
          "ds_list_replace",
          "ds_list_set",
          "ds_list_shuffle",
          "ds_list_size",
          "ds_list_sort",
          "ds_list_write",
          "ds_map_add",
          "ds_map_add_list",
          "ds_map_add_map",
          "ds_map_clear",
          "ds_map_copy",
          "ds_map_create",
          "ds_map_delete",
          "ds_map_destroy",
          "ds_map_empty",
          "ds_map_exists",
          "ds_map_find_first",
          "ds_map_find_last",
          "ds_map_find_next",
          "ds_map_find_previous",
          "ds_map_find_value",
          "ds_map_is_list",
          "ds_map_is_map",
          "ds_map_keys_to_array",
          "ds_map_read",
          "ds_map_replace",
          "ds_map_replace_list",
          "ds_map_replace_map",
          "ds_map_secure_load",
          "ds_map_secure_load_buffer",
          "ds_map_secure_save",
          "ds_map_secure_save_buffer",
          "ds_map_set",
          "ds_map_size",
          "ds_map_values_to_array",
          "ds_map_write",
          "ds_priority_add",
          "ds_priority_change_priority",
          "ds_priority_clear",
          "ds_priority_copy",
          "ds_priority_create",
          "ds_priority_delete_max",
          "ds_priority_delete_min",
          "ds_priority_delete_value",
          "ds_priority_destroy",
          "ds_priority_empty",
          "ds_priority_find_max",
          "ds_priority_find_min",
          "ds_priority_find_priority",
          "ds_priority_read",
          "ds_priority_size",
          "ds_priority_write",
          "ds_queue_clear",
          "ds_queue_copy",
          "ds_queue_create",
          "ds_queue_dequeue",
          "ds_queue_destroy",
          "ds_queue_empty",
          "ds_queue_enqueue",
          "ds_queue_head",
          "ds_queue_read",
          "ds_queue_size",
          "ds_queue_tail",
          "ds_queue_write",
          "ds_set_precision",
          "ds_stack_clear",
          "ds_stack_copy",
          "ds_stack_create",
          "ds_stack_destroy",
          "ds_stack_empty",
          "ds_stack_pop",
          "ds_stack_push",
          "ds_stack_read",
          "ds_stack_size",
          "ds_stack_top",
          "ds_stack_write",
          "dsin",
          "dtan",
          "effect_clear",
          "effect_create_above",
          "effect_create_below",
          "effect_create_depth",
          "effect_create_layer",
          "environment_get_variable",
          "event_inherited",
          "event_perform",
          "event_perform_async",
          "event_perform_object",
          "event_user",
          "exception_unhandled_handler",
          "exp",
          "extension_exists",
          "extension_get_option_count",
          "extension_get_option_names",
          "extension_get_option_value",
          "extension_get_options",
          "extension_get_version",
          "external_call",
          "external_define",
          "external_free",
          "file_attributes",
          "file_bin_close",
          "file_bin_open",
          "file_bin_position",
          "file_bin_read_byte",
          "file_bin_rewrite",
          "file_bin_seek",
          "file_bin_size",
          "file_bin_write_byte",
          "file_copy",
          "file_delete",
          "file_exists",
          "file_find_close",
          "file_find_first",
          "file_find_next",
          "file_rename",
          "file_text_close",
          "file_text_eof",
          "file_text_eoln",
          "file_text_open_append",
          "file_text_open_from_string",
          "file_text_open_read",
          "file_text_open_write",
          "file_text_read_real",
          "file_text_read_string",
          "file_text_readln",
          "file_text_write_real",
          "file_text_write_string",
          "file_text_writeln",
          "filename_change_ext",
          "filename_dir",
          "filename_drive",
          "filename_ext",
          "filename_name",
          "filename_path",
          "floor",
          "font_add",
          "font_add_enable_aa",
          "font_add_get_enable_aa",
          "font_add_sprite",
          "font_add_sprite_ext",
          "font_cache_glyph",
          "font_delete",
          "font_enable_effects",
          "font_enable_sdf",
          "font_exists",
          "font_get_bold",
          "font_get_first",
          "font_get_fontname",
          "font_get_info",
          "font_get_italic",
          "font_get_last",
          "font_get_name",
          "font_get_sdf_enabled",
          "font_get_sdf_spread",
          "font_get_size",
          "font_get_texture",
          "font_get_uvs",
          "font_replace_sprite",
          "font_replace_sprite_ext",
          "font_sdf_spread",
          "font_set_cache_size",
          "frac",
          "fx_create",
          "fx_get_name",
          "fx_get_parameter",
          "fx_get_parameter_names",
          "fx_get_parameters",
          "fx_get_single_layer",
          "fx_set_parameter",
          "fx_set_parameters",
          "fx_set_single_layer",
          "game_change",
          "game_end",
          "game_get_speed",
          "game_load",
          "game_load_buffer",
          "game_restart",
          "game_save",
          "game_save_buffer",
          "game_set_speed",
          "gamepad_axis_count",
          "gamepad_axis_value",
          "gamepad_button_check",
          "gamepad_button_check_pressed",
          "gamepad_button_check_released",
          "gamepad_button_count",
          "gamepad_button_value",
          "gamepad_get_axis_deadzone",
          "gamepad_get_button_threshold",
          "gamepad_get_description",
          "gamepad_get_device_count",
          "gamepad_get_guid",
          "gamepad_get_mapping",
          "gamepad_get_option",
          "gamepad_hat_count",
          "gamepad_hat_value",
          "gamepad_is_connected",
          "gamepad_is_supported",
          "gamepad_remove_mapping",
          "gamepad_set_axis_deadzone",
          "gamepad_set_button_threshold",
          "gamepad_set_color",
          "gamepad_set_colour",
          "gamepad_set_option",
          "gamepad_set_vibration",
          "gamepad_test_mapping",
          "gc_collect",
          "gc_enable",
          "gc_get_stats",
          "gc_get_target_frame_time",
          "gc_is_enabled",
          "gc_target_frame_time",
          "gesture_double_tap_distance",
          "gesture_double_tap_time",
          "gesture_drag_distance",
          "gesture_drag_time",
          "gesture_flick_speed",
          "gesture_get_double_tap_distance",
          "gesture_get_double_tap_time",
          "gesture_get_drag_distance",
          "gesture_get_drag_time",
          "gesture_get_flick_speed",
          "gesture_get_pinch_angle_away",
          "gesture_get_pinch_angle_towards",
          "gesture_get_pinch_distance",
          "gesture_get_rotate_angle",
          "gesture_get_rotate_time",
          "gesture_get_tap_count",
          "gesture_pinch_angle_away",
          "gesture_pinch_angle_towards",
          "gesture_pinch_distance",
          "gesture_rotate_angle",
          "gesture_rotate_time",
          "gesture_tap_count",
          "get_integer",
          "get_integer_async",
          "get_login_async",
          "get_open_filename",
          "get_open_filename_ext",
          "get_save_filename",
          "get_save_filename_ext",
          "get_string",
          "get_string_async",
          "get_timer",
          "gif_add_surface",
          "gif_open",
          "gif_save",
          "gif_save_buffer",
          "gml_pragma",
          "gml_release_mode",
          "gpu_get_alphatestenable",
          "gpu_get_alphatestref",
          "gpu_get_blendenable",
          "gpu_get_blendmode",
          "gpu_get_blendmode_dest",
          "gpu_get_blendmode_destalpha",
          "gpu_get_blendmode_ext",
          "gpu_get_blendmode_ext_sepalpha",
          "gpu_get_blendmode_src",
          "gpu_get_blendmode_srcalpha",
          "gpu_get_colorwriteenable",
          "gpu_get_colourwriteenable",
          "gpu_get_cullmode",
          "gpu_get_depth",
          "gpu_get_fog",
          "gpu_get_state",
          "gpu_get_tex_filter",
          "gpu_get_tex_filter_ext",
          "gpu_get_tex_max_aniso",
          "gpu_get_tex_max_aniso_ext",
          "gpu_get_tex_max_mip",
          "gpu_get_tex_max_mip_ext",
          "gpu_get_tex_min_mip",
          "gpu_get_tex_min_mip_ext",
          "gpu_get_tex_mip_bias",
          "gpu_get_tex_mip_bias_ext",
          "gpu_get_tex_mip_enable",
          "gpu_get_tex_mip_enable_ext",
          "gpu_get_tex_mip_filter",
          "gpu_get_tex_mip_filter_ext",
          "gpu_get_tex_repeat",
          "gpu_get_tex_repeat_ext",
          "gpu_get_texfilter",
          "gpu_get_texfilter_ext",
          "gpu_get_texrepeat",
          "gpu_get_texrepeat_ext",
          "gpu_get_zfunc",
          "gpu_get_ztestenable",
          "gpu_get_zwriteenable",
          "gpu_pop_state",
          "gpu_push_state",
          "gpu_set_alphatestenable",
          "gpu_set_alphatestref",
          "gpu_set_blendenable",
          "gpu_set_blendmode",
          "gpu_set_blendmode_ext",
          "gpu_set_blendmode_ext_sepalpha",
          "gpu_set_colorwriteenable",
          "gpu_set_colourwriteenable",
          "gpu_set_cullmode",
          "gpu_set_depth",
          "gpu_set_fog",
          "gpu_set_state",
          "gpu_set_tex_filter",
          "gpu_set_tex_filter_ext",
          "gpu_set_tex_max_aniso",
          "gpu_set_tex_max_aniso_ext",
          "gpu_set_tex_max_mip",
          "gpu_set_tex_max_mip_ext",
          "gpu_set_tex_min_mip",
          "gpu_set_tex_min_mip_ext",
          "gpu_set_tex_mip_bias",
          "gpu_set_tex_mip_bias_ext",
          "gpu_set_tex_mip_enable",
          "gpu_set_tex_mip_enable_ext",
          "gpu_set_tex_mip_filter",
          "gpu_set_tex_mip_filter_ext",
          "gpu_set_tex_repeat",
          "gpu_set_tex_repeat_ext",
          "gpu_set_texfilter",
          "gpu_set_texfilter_ext",
          "gpu_set_texrepeat",
          "gpu_set_texrepeat_ext",
          "gpu_set_zfunc",
          "gpu_set_ztestenable",
          "gpu_set_zwriteenable",
          "handle_parse",
          "highscore_add",
          "highscore_clear",
          "highscore_name",
          "highscore_value",
          "http_get",
          "http_get_file",
          "http_get_request_crossorigin",
          "http_post_string",
          "http_request",
          "http_set_request_crossorigin",
          "iap_acquire",
          "iap_activate",
          "iap_consume",
          "iap_enumerate_products",
          "iap_product_details",
          "iap_purchase_details",
          "iap_restore_all",
          "iap_status",
          "ini_close",
          "ini_key_delete",
          "ini_key_exists",
          "ini_open",
          "ini_open_from_string",
          "ini_read_real",
          "ini_read_string",
          "ini_section_delete",
          "ini_section_exists",
          "ini_write_real",
          "ini_write_string",
          "instance_activate_all",
          "instance_activate_layer",
          "instance_activate_object",
          "instance_activate_region",
          "instance_change",
          "instance_copy",
          "instance_create_depth",
          "instance_create_layer",
          "instance_deactivate_all",
          "instance_deactivate_layer",
          "instance_deactivate_object",
          "instance_deactivate_region",
          "instance_destroy",
          "instance_exists",
          "instance_find",
          "instance_furthest",
          "instance_id_get",
          "instance_nearest",
          "instance_number",
          "instance_place",
          "instance_place_list",
          "instance_position",
          "instance_position_list",
          "instanceof",
          "int64",
          "io_clear",
          "irandom",
          "irandom_range",
          "is_array",
          "is_bool",
          "is_callable",
          "is_debug_overlay_open",
          "is_handle",
          "is_infinity",
          "is_instanceof",
          "is_int32",
          "is_int64",
          "is_keyboard_used_debug_overlay",
          "is_method",
          "is_mouse_over_debug_overlay",
          "is_nan",
          "is_numeric",
          "is_ptr",
          "is_real",
          "is_string",
          "is_struct",
          "is_undefined",
          "json_decode",
          "json_encode",
          "json_parse",
          "json_stringify",
          "keyboard_check",
          "keyboard_check_direct",
          "keyboard_check_pressed",
          "keyboard_check_released",
          "keyboard_clear",
          "keyboard_get_map",
          "keyboard_get_numlock",
          "keyboard_key_press",
          "keyboard_key_release",
          "keyboard_set_map",
          "keyboard_set_numlock",
          "keyboard_unset_map",
          "keyboard_virtual_height",
          "keyboard_virtual_hide",
          "keyboard_virtual_show",
          "keyboard_virtual_status",
          "layer_add_instance",
          "layer_background_alpha",
          "layer_background_blend",
          "layer_background_change",
          "layer_background_create",
          "layer_background_destroy",
          "layer_background_exists",
          "layer_background_get_alpha",
          "layer_background_get_blend",
          "layer_background_get_htiled",
          "layer_background_get_id",
          "layer_background_get_index",
          "layer_background_get_speed",
          "layer_background_get_sprite",
          "layer_background_get_stretch",
          "layer_background_get_visible",
          "layer_background_get_vtiled",
          "layer_background_get_xscale",
          "layer_background_get_yscale",
          "layer_background_htiled",
          "layer_background_index",
          "layer_background_speed",
          "layer_background_sprite",
          "layer_background_stretch",
          "layer_background_visible",
          "layer_background_vtiled",
          "layer_background_xscale",
          "layer_background_yscale",
          "layer_clear_fx",
          "layer_create",
          "layer_depth",
          "layer_destroy",
          "layer_destroy_instances",
          "layer_element_move",
          "layer_enable_fx",
          "layer_exists",
          "layer_force_draw_depth",
          "layer_fx_is_enabled",
          "layer_get_all",
          "layer_get_all_elements",
          "layer_get_depth",
          "layer_get_element_layer",
          "layer_get_element_type",
          "layer_get_forced_depth",
          "layer_get_fx",
          "layer_get_hspeed",
          "layer_get_id",
          "layer_get_id_at_depth",
          "layer_get_name",
          "layer_get_script_begin",
          "layer_get_script_end",
          "layer_get_shader",
          "layer_get_target_room",
          "layer_get_visible",
          "layer_get_vspeed",
          "layer_get_x",
          "layer_get_y",
          "layer_has_instance",
          "layer_hspeed",
          "layer_instance_get_instance",
          "layer_is_draw_depth_forced",
          "layer_reset_target_room",
          "layer_script_begin",
          "layer_script_end",
          "layer_sequence_angle",
          "layer_sequence_create",
          "layer_sequence_destroy",
          "layer_sequence_exists",
          "layer_sequence_get_angle",
          "layer_sequence_get_headdir",
          "layer_sequence_get_headpos",
          "layer_sequence_get_instance",
          "layer_sequence_get_length",
          "layer_sequence_get_sequence",
          "layer_sequence_get_speedscale",
          "layer_sequence_get_x",
          "layer_sequence_get_xscale",
          "layer_sequence_get_y",
          "layer_sequence_get_yscale",
          "layer_sequence_headdir",
          "layer_sequence_headpos",
          "layer_sequence_is_finished",
          "layer_sequence_is_paused",
          "layer_sequence_pause",
          "layer_sequence_play",
          "layer_sequence_speedscale",
          "layer_sequence_x",
          "layer_sequence_xscale",
          "layer_sequence_y",
          "layer_sequence_yscale",
          "layer_set_fx",
          "layer_set_target_room",
          "layer_set_visible",
          "layer_shader",
          "layer_sprite_alpha",
          "layer_sprite_angle",
          "layer_sprite_blend",
          "layer_sprite_change",
          "layer_sprite_create",
          "layer_sprite_destroy",
          "layer_sprite_exists",
          "layer_sprite_get_alpha",
          "layer_sprite_get_angle",
          "layer_sprite_get_blend",
          "layer_sprite_get_id",
          "layer_sprite_get_index",
          "layer_sprite_get_speed",
          "layer_sprite_get_sprite",
          "layer_sprite_get_x",
          "layer_sprite_get_xscale",
          "layer_sprite_get_y",
          "layer_sprite_get_yscale",
          "layer_sprite_index",
          "layer_sprite_speed",
          "layer_sprite_x",
          "layer_sprite_xscale",
          "layer_sprite_y",
          "layer_sprite_yscale",
          "layer_tile_alpha",
          "layer_tile_blend",
          "layer_tile_change",
          "layer_tile_create",
          "layer_tile_destroy",
          "layer_tile_exists",
          "layer_tile_get_alpha",
          "layer_tile_get_blend",
          "layer_tile_get_region",
          "layer_tile_get_sprite",
          "layer_tile_get_visible",
          "layer_tile_get_x",
          "layer_tile_get_xscale",
          "layer_tile_get_y",
          "layer_tile_get_yscale",
          "layer_tile_region",
          "layer_tile_visible",
          "layer_tile_x",
          "layer_tile_xscale",
          "layer_tile_y",
          "layer_tile_yscale",
          "layer_tilemap_create",
          "layer_tilemap_destroy",
          "layer_tilemap_exists",
          "layer_tilemap_get_id",
          "layer_vspeed",
          "layer_x",
          "layer_y",
          "lengthdir_x",
          "lengthdir_y",
          "lerp",
          "lin_to_db",
          "ln",
          "load_csv",
          "log10",
          "log2",
          "logn",
          "make_color_hsv",
          "make_color_rgb",
          "make_colour_hsv",
          "make_colour_rgb",
          "math_get_epsilon",
          "math_set_epsilon",
          "matrix_build",
          "matrix_build_identity",
          "matrix_build_lookat",
          "matrix_build_projection_ortho",
          "matrix_build_projection_perspective",
          "matrix_build_projection_perspective_fov",
          "matrix_get",
          "matrix_multiply",
          "matrix_set",
          "matrix_stack_clear",
          "matrix_stack_is_empty",
          "matrix_stack_pop",
          "matrix_stack_push",
          "matrix_stack_set",
          "matrix_stack_top",
          "matrix_transform_vertex",
          "max",
          "md5_file",
          "md5_string_unicode",
          "md5_string_utf8",
          "mean",
          "median",
          "merge_color",
          "merge_colour",
          "method",
          "method_call",
          "method_get_index",
          "method_get_self",
          "min",
          "motion_add",
          "motion_set",
          "mouse_check_button",
          "mouse_check_button_pressed",
          "mouse_check_button_released",
          "mouse_clear",
          "mouse_wheel_down",
          "mouse_wheel_up",
          "move_and_collide",
          "move_bounce_all",
          "move_bounce_solid",
          "move_contact_all",
          "move_contact_solid",
          "move_outside_all",
          "move_outside_solid",
          "move_random",
          "move_snap",
          "move_towards_point",
          "move_wrap",
          "mp_grid_add_cell",
          "mp_grid_add_instances",
          "mp_grid_add_rectangle",
          "mp_grid_clear_all",
          "mp_grid_clear_cell",
          "mp_grid_clear_rectangle",
          "mp_grid_create",
          "mp_grid_destroy",
          "mp_grid_draw",
          "mp_grid_get_cell",
          "mp_grid_path",
          "mp_grid_to_ds_grid",
          "mp_linear_path",
          "mp_linear_path_object",
          "mp_linear_step",
          "mp_linear_step_object",
          "mp_potential_path",
          "mp_potential_path_object",
          "mp_potential_settings",
          "mp_potential_step",
          "mp_potential_step_object",
          "nameof",
          "network_connect",
          "network_connect_async",
          "network_connect_raw",
          "network_connect_raw_async",
          "network_create_server",
          "network_create_server_raw",
          "network_create_socket",
          "network_create_socket_ext",
          "network_destroy",
          "network_resolve",
          "network_send_broadcast",
          "network_send_packet",
          "network_send_raw",
          "network_send_udp",
          "network_send_udp_raw",
          "network_set_config",
          "network_set_timeout",
          "object_exists",
          "object_get_mask",
          "object_get_name",
          "object_get_parent",
          "object_get_persistent",
          "object_get_physics",
          "object_get_solid",
          "object_get_sprite",
          "object_get_visible",
          "object_is_ancestor",
          "object_set_mask",
          "object_set_persistent",
          "object_set_solid",
          "object_set_sprite",
          "object_set_visible",
          "ord",
          "os_check_permission",
          "os_get_config",
          "os_get_info",
          "os_get_language",
          "os_get_region",
          "os_is_network_connected",
          "os_is_paused",
          "os_lock_orientation",
          "os_powersave_enable",
          "os_request_permission",
          "os_set_orientation_lock",
          "parameter_count",
          "parameter_string",
          "part_emitter_burst",
          "part_emitter_clear",
          "part_emitter_create",
          "part_emitter_delay",
          "part_emitter_destroy",
          "part_emitter_destroy_all",
          "part_emitter_enable",
          "part_emitter_exists",
          "part_emitter_interval",
          "part_emitter_region",
          "part_emitter_relative",
          "part_emitter_stream",
          "part_particles_burst",
          "part_particles_clear",
          "part_particles_count",
          "part_particles_create",
          "part_particles_create_color",
          "part_particles_create_colour",
          "part_system_angle",
          "part_system_automatic_draw",
          "part_system_automatic_update",
          "part_system_clear",
          "part_system_color",
          "part_system_colour",
          "part_system_create",
          "part_system_create_layer",
          "part_system_depth",
          "part_system_destroy",
          "part_system_draw_order",
          "part_system_drawit",
          "part_system_exists",
          "part_system_get_info",
          "part_system_get_layer",
          "part_system_global_space",
          "part_system_layer",
          "part_system_position",
          "part_system_update",
          "part_type_alpha1",
          "part_type_alpha2",
          "part_type_alpha3",
          "part_type_blend",
          "part_type_clear",
          "part_type_color1",
          "part_type_color2",
          "part_type_color3",
          "part_type_color_hsv",
          "part_type_color_mix",
          "part_type_color_rgb",
          "part_type_colour1",
          "part_type_colour2",
          "part_type_colour3",
          "part_type_colour_hsv",
          "part_type_colour_mix",
          "part_type_colour_rgb",
          "part_type_create",
          "part_type_death",
          "part_type_destroy",
          "part_type_direction",
          "part_type_exists",
          "part_type_gravity",
          "part_type_life",
          "part_type_orientation",
          "part_type_scale",
          "part_type_shape",
          "part_type_size",
          "part_type_size_x",
          "part_type_size_y",
          "part_type_speed",
          "part_type_sprite",
          "part_type_step",
          "part_type_subimage",
          "particle_exists",
          "particle_get_info",
          "path_add",
          "path_add_point",
          "path_append",
          "path_assign",
          "path_change_point",
          "path_clear_points",
          "path_delete",
          "path_delete_point",
          "path_duplicate",
          "path_end",
          "path_exists",
          "path_flip",
          "path_get_closed",
          "path_get_kind",
          "path_get_length",
          "path_get_name",
          "path_get_number",
          "path_get_point_speed",
          "path_get_point_x",
          "path_get_point_y",
          "path_get_precision",
          "path_get_speed",
          "path_get_x",
          "path_get_y",
          "path_insert_point",
          "path_mirror",
          "path_rescale",
          "path_reverse",
          "path_rotate",
          "path_set_closed",
          "path_set_kind",
          "path_set_precision",
          "path_shift",
          "path_start",
          "physics_apply_angular_impulse",
          "physics_apply_force",
          "physics_apply_impulse",
          "physics_apply_local_force",
          "physics_apply_local_impulse",
          "physics_apply_torque",
          "physics_draw_debug",
          "physics_fixture_add_point",
          "physics_fixture_bind",
          "physics_fixture_bind_ext",
          "physics_fixture_create",
          "physics_fixture_delete",
          "physics_fixture_set_angular_damping",
          "physics_fixture_set_awake",
          "physics_fixture_set_box_shape",
          "physics_fixture_set_chain_shape",
          "physics_fixture_set_circle_shape",
          "physics_fixture_set_collision_group",
          "physics_fixture_set_density",
          "physics_fixture_set_edge_shape",
          "physics_fixture_set_friction",
          "physics_fixture_set_kinematic",
          "physics_fixture_set_linear_damping",
          "physics_fixture_set_polygon_shape",
          "physics_fixture_set_restitution",
          "physics_fixture_set_sensor",
          "physics_get_density",
          "physics_get_friction",
          "physics_get_restitution",
          "physics_joint_delete",
          "physics_joint_distance_create",
          "physics_joint_enable_motor",
          "physics_joint_friction_create",
          "physics_joint_gear_create",
          "physics_joint_get_value",
          "physics_joint_prismatic_create",
          "physics_joint_pulley_create",
          "physics_joint_revolute_create",
          "physics_joint_rope_create",
          "physics_joint_set_value",
          "physics_joint_weld_create",
          "physics_joint_wheel_create",
          "physics_mass_properties",
          "physics_particle_count",
          "physics_particle_create",
          "physics_particle_delete",
          "physics_particle_delete_region_box",
          "physics_particle_delete_region_circle",
          "physics_particle_delete_region_poly",
          "physics_particle_draw",
          "physics_particle_draw_ext",
          "physics_particle_get_damping",
          "physics_particle_get_data",
          "physics_particle_get_data_particle",
          "physics_particle_get_density",
          "physics_particle_get_gravity_scale",
          "physics_particle_get_group_flags",
          "physics_particle_get_max_count",
          "physics_particle_get_radius",
          "physics_particle_group_add_point",
          "physics_particle_group_begin",
          "physics_particle_group_box",
          "physics_particle_group_circle",
          "physics_particle_group_count",
          "physics_particle_group_delete",
          "physics_particle_group_end",
          "physics_particle_group_get_ang_vel",
          "physics_particle_group_get_angle",
          "physics_particle_group_get_centre_x",
          "physics_particle_group_get_centre_y",
          "physics_particle_group_get_data",
          "physics_particle_group_get_inertia",
          "physics_particle_group_get_mass",
          "physics_particle_group_get_vel_x",
          "physics_particle_group_get_vel_y",
          "physics_particle_group_get_x",
          "physics_particle_group_get_y",
          "physics_particle_group_join",
          "physics_particle_group_polygon",
          "physics_particle_set_category_flags",
          "physics_particle_set_damping",
          "physics_particle_set_density",
          "physics_particle_set_flags",
          "physics_particle_set_gravity_scale",
          "physics_particle_set_group_flags",
          "physics_particle_set_max_count",
          "physics_particle_set_radius",
          "physics_pause_enable",
          "physics_remove_fixture",
          "physics_set_density",
          "physics_set_friction",
          "physics_set_restitution",
          "physics_test_overlap",
          "physics_world_create",
          "physics_world_draw_debug",
          "physics_world_gravity",
          "physics_world_update_iterations",
          "physics_world_update_speed",
          "place_empty",
          "place_free",
          "place_meeting",
          "place_snapped",
          "point_direction",
          "point_distance",
          "point_distance_3d",
          "point_in_circle",
          "point_in_rectangle",
          "point_in_triangle",
          "position_change",
          "position_destroy",
          "position_empty",
          "position_meeting",
          "power",
          "ptr",
          "radtodeg",
          "random",
          "random_get_seed",
          "random_range",
          "random_set_seed",
          "randomise",
          "randomize",
          "real",
          "rectangle_in_circle",
          "rectangle_in_rectangle",
          "rectangle_in_triangle",
          "ref_create",
          "rollback_chat",
          "rollback_create_game",
          "rollback_define_extra_network_latency",
          "rollback_define_input",
          "rollback_define_input_frame_delay",
          "rollback_define_mock_input",
          "rollback_define_player",
          "rollback_display_events",
          "rollback_get_info",
          "rollback_get_input",
          "rollback_get_player_prefs",
          "rollback_join_game",
          "rollback_leave_game",
          "rollback_set_player_prefs",
          "rollback_start_game",
          "rollback_sync_on_frame",
          "rollback_use_late_join",
          "rollback_use_manual_start",
          "rollback_use_player_prefs",
          "rollback_use_random_input",
          "room_add",
          "room_assign",
          "room_duplicate",
          "room_exists",
          "room_get_camera",
          "room_get_info",
          "room_get_name",
          "room_get_viewport",
          "room_goto",
          "room_goto_next",
          "room_goto_previous",
          "room_instance_add",
          "room_instance_clear",
          "room_next",
          "room_previous",
          "room_restart",
          "room_set_camera",
          "room_set_height",
          "room_set_persistent",
          "room_set_view_enabled",
          "room_set_viewport",
          "room_set_width",
          "round",
          "scheduler_resolution_get",
          "scheduler_resolution_set",
          "screen_save",
          "screen_save_part",
          "script_execute",
          "script_execute_ext",
          "script_exists",
          "script_get_name",
          "sequence_create",
          "sequence_destroy",
          "sequence_exists",
          "sequence_get",
          "sequence_get_objects",
          "sequence_instance_override_object",
          "sequence_keyframe_new",
          "sequence_keyframedata_new",
          "sequence_track_new",
          "sha1_file",
          "sha1_string_unicode",
          "sha1_string_utf8",
          "shader_current",
          "shader_enable_corner_id",
          "shader_get_name",
          "shader_get_sampler_index",
          "shader_get_uniform",
          "shader_is_compiled",
          "shader_reset",
          "shader_set",
          "shader_set_uniform_f",
          "shader_set_uniform_f_array",
          "shader_set_uniform_f_buffer",
          "shader_set_uniform_i",
          "shader_set_uniform_i_array",
          "shader_set_uniform_matrix",
          "shader_set_uniform_matrix_array",
          "shaders_are_supported",
          "shop_leave_rating",
          "show_debug_message",
          "show_debug_message_ext",
          "show_debug_overlay",
          "show_error",
          "show_message",
          "show_message_async",
          "show_question",
          "show_question_async",
          "sign",
          "sin",
          "skeleton_animation_clear",
          "skeleton_animation_get",
          "skeleton_animation_get_duration",
          "skeleton_animation_get_event_frames",
          "skeleton_animation_get_ext",
          "skeleton_animation_get_frame",
          "skeleton_animation_get_frames",
          "skeleton_animation_get_position",
          "skeleton_animation_is_finished",
          "skeleton_animation_is_looping",
          "skeleton_animation_list",
          "skeleton_animation_mix",
          "skeleton_animation_set",
          "skeleton_animation_set_ext",
          "skeleton_animation_set_frame",
          "skeleton_animation_set_position",
          "skeleton_attachment_create",
          "skeleton_attachment_create_color",
          "skeleton_attachment_create_colour",
          "skeleton_attachment_destroy",
          "skeleton_attachment_exists",
          "skeleton_attachment_get",
          "skeleton_attachment_replace",
          "skeleton_attachment_replace_color",
          "skeleton_attachment_replace_colour",
          "skeleton_attachment_set",
          "skeleton_bone_data_get",
          "skeleton_bone_data_set",
          "skeleton_bone_list",
          "skeleton_bone_state_get",
          "skeleton_bone_state_set",
          "skeleton_collision_draw_set",
          "skeleton_find_slot",
          "skeleton_get_bounds",
          "skeleton_get_minmax",
          "skeleton_get_num_bounds",
          "skeleton_skin_create",
          "skeleton_skin_get",
          "skeleton_skin_list",
          "skeleton_skin_set",
          "skeleton_slot_alpha_get",
          "skeleton_slot_color_get",
          "skeleton_slot_color_set",
          "skeleton_slot_colour_get",
          "skeleton_slot_colour_set",
          "skeleton_slot_data",
          "skeleton_slot_data_instance",
          "skeleton_slot_list",
          "sprite_add",
          "sprite_add_ext",
          "sprite_add_from_surface",
          "sprite_assign",
          "sprite_collision_mask",
          "sprite_create_from_surface",
          "sprite_delete",
          "sprite_duplicate",
          "sprite_exists",
          "sprite_flush",
          "sprite_flush_multi",
          "sprite_get_bbox_bottom",
          "sprite_get_bbox_left",
          "sprite_get_bbox_mode",
          "sprite_get_bbox_right",
          "sprite_get_bbox_top",
          "sprite_get_height",
          "sprite_get_info",
          "sprite_get_name",
          "sprite_get_nineslice",
          "sprite_get_number",
          "sprite_get_speed",
          "sprite_get_speed_type",
          "sprite_get_texture",
          "sprite_get_tpe",
          "sprite_get_uvs",
          "sprite_get_width",
          "sprite_get_xoffset",
          "sprite_get_yoffset",
          "sprite_merge",
          "sprite_nineslice_create",
          "sprite_prefetch",
          "sprite_prefetch_multi",
          "sprite_replace",
          "sprite_save",
          "sprite_save_strip",
          "sprite_set_alpha_from_sprite",
          "sprite_set_bbox",
          "sprite_set_bbox_mode",
          "sprite_set_cache_size",
          "sprite_set_cache_size_ext",
          "sprite_set_nineslice",
          "sprite_set_offset",
          "sprite_set_speed",
          "sqr",
          "sqrt",
          "static_get",
          "static_set",
          "string",
          "string_byte_at",
          "string_byte_length",
          "string_char_at",
          "string_concat",
          "string_concat_ext",
          "string_copy",
          "string_count",
          "string_delete",
          "string_digits",
          "string_ends_with",
          "string_ext",
          "string_foreach",
          "string_format",
          "string_hash_to_newline",
          "string_height",
          "string_height_ext",
          "string_insert",
          "string_join",
          "string_join_ext",
          "string_last_pos",
          "string_last_pos_ext",
          "string_length",
          "string_letters",
          "string_lettersdigits",
          "string_lower",
          "string_ord_at",
          "string_pos",
          "string_pos_ext",
          "string_repeat",
          "string_replace",
          "string_replace_all",
          "string_set_byte_at",
          "string_split",
          "string_split_ext",
          "string_starts_with",
          "string_trim",
          "string_trim_end",
          "string_trim_start",
          "string_upper",
          "string_width",
          "string_width_ext",
          "struct_exists",
          "struct_foreach",
          "struct_get",
          "struct_get_from_hash",
          "struct_get_names",
          "struct_names_count",
          "struct_remove",
          "struct_set",
          "struct_set_from_hash",
          "surface_copy",
          "surface_copy_part",
          "surface_create",
          "surface_create_ext",
          "surface_depth_disable",
          "surface_exists",
          "surface_format_is_supported",
          "surface_free",
          "surface_get_depth_disable",
          "surface_get_format",
          "surface_get_height",
          "surface_get_target",
          "surface_get_target_ext",
          "surface_get_texture",
          "surface_get_width",
          "surface_getpixel",
          "surface_getpixel_ext",
          "surface_reset_target",
          "surface_resize",
          "surface_save",
          "surface_save_part",
          "surface_set_target",
          "surface_set_target_ext",
          "tag_get_asset_ids",
          "tag_get_assets",
          "tan",
          "texture_debug_messages",
          "texture_flush",
          "texture_get_height",
          "texture_get_texel_height",
          "texture_get_texel_width",
          "texture_get_uvs",
          "texture_get_width",
          "texture_global_scale",
          "texture_is_ready",
          "texture_prefetch",
          "texture_set_stage",
          "texturegroup_get_fonts",
          "texturegroup_get_names",
          "texturegroup_get_sprites",
          "texturegroup_get_status",
          "texturegroup_get_textures",
          "texturegroup_get_tilesets",
          "texturegroup_load",
          "texturegroup_set_mode",
          "texturegroup_unload",
          "tile_get_empty",
          "tile_get_flip",
          "tile_get_index",
          "tile_get_mirror",
          "tile_get_rotate",
          "tile_set_empty",
          "tile_set_flip",
          "tile_set_index",
          "tile_set_mirror",
          "tile_set_rotate",
          "tilemap_clear",
          "tilemap_get",
          "tilemap_get_at_pixel",
          "tilemap_get_cell_x_at_pixel",
          "tilemap_get_cell_y_at_pixel",
          "tilemap_get_frame",
          "tilemap_get_global_mask",
          "tilemap_get_height",
          "tilemap_get_mask",
          "tilemap_get_tile_height",
          "tilemap_get_tile_width",
          "tilemap_get_tileset",
          "tilemap_get_width",
          "tilemap_get_x",
          "tilemap_get_y",
          "tilemap_set",
          "tilemap_set_at_pixel",
          "tilemap_set_global_mask",
          "tilemap_set_height",
          "tilemap_set_mask",
          "tilemap_set_width",
          "tilemap_tileset",
          "tilemap_x",
          "tilemap_y",
          "tileset_get_info",
          "tileset_get_name",
          "tileset_get_texture",
          "tileset_get_uvs",
          "time_bpm_to_seconds",
          "time_seconds_to_bpm",
          "time_source_create",
          "time_source_destroy",
          "time_source_exists",
          "time_source_get_children",
          "time_source_get_parent",
          "time_source_get_period",
          "time_source_get_reps_completed",
          "time_source_get_reps_remaining",
          "time_source_get_state",
          "time_source_get_time_remaining",
          "time_source_get_units",
          "time_source_pause",
          "time_source_reconfigure",
          "time_source_reset",
          "time_source_resume",
          "time_source_start",
          "time_source_stop",
          "timeline_add",
          "timeline_clear",
          "timeline_delete",
          "timeline_exists",
          "timeline_get_name",
          "timeline_max_moment",
          "timeline_moment_add_script",
          "timeline_moment_clear",
          "timeline_size",
          "typeof",
          "url_get_domain",
          "url_open",
          "url_open_ext",
          "url_open_full",
          "uwp_device_touchscreen_available",
          "uwp_livetile_badge_clear",
          "uwp_livetile_badge_notification",
          "uwp_livetile_notification_begin",
          "uwp_livetile_notification_end",
          "uwp_livetile_notification_expiry",
          "uwp_livetile_notification_image_add",
          "uwp_livetile_notification_secondary_begin",
          "uwp_livetile_notification_tag",
          "uwp_livetile_notification_template_add",
          "uwp_livetile_notification_text_add",
          "uwp_livetile_queue_enable",
          "uwp_livetile_tile_clear",
          "uwp_secondarytile_badge_clear",
          "uwp_secondarytile_badge_notification",
          "uwp_secondarytile_delete",
          "uwp_secondarytile_pin",
          "uwp_secondarytile_tile_clear",
          "variable_clone",
          "variable_get_hash",
          "variable_global_exists",
          "variable_global_get",
          "variable_global_set",
          "variable_instance_exists",
          "variable_instance_get",
          "variable_instance_get_names",
          "variable_instance_names_count",
          "variable_instance_set",
          "variable_struct_exists",
          "variable_struct_get",
          "variable_struct_get_names",
          "variable_struct_names_count",
          "variable_struct_remove",
          "variable_struct_set",
          "vertex_argb",
          "vertex_begin",
          "vertex_color",
          "vertex_colour",
          "vertex_create_buffer",
          "vertex_create_buffer_ext",
          "vertex_create_buffer_from_buffer",
          "vertex_create_buffer_from_buffer_ext",
          "vertex_delete_buffer",
          "vertex_end",
          "vertex_float1",
          "vertex_float2",
          "vertex_float3",
          "vertex_float4",
          "vertex_format_add_color",
          "vertex_format_add_colour",
          "vertex_format_add_custom",
          "vertex_format_add_normal",
          "vertex_format_add_position",
          "vertex_format_add_position_3d",
          "vertex_format_add_texcoord",
          "vertex_format_begin",
          "vertex_format_delete",
          "vertex_format_end",
          "vertex_format_get_info",
          "vertex_freeze",
          "vertex_get_buffer_size",
          "vertex_get_number",
          "vertex_normal",
          "vertex_position",
          "vertex_position_3d",
          "vertex_submit",
          "vertex_submit_ext",
          "vertex_texcoord",
          "vertex_ubyte4",
          "vertex_update_buffer_from_buffer",
          "vertex_update_buffer_from_vertex",
          "video_close",
          "video_draw",
          "video_enable_loop",
          "video_get_duration",
          "video_get_format",
          "video_get_position",
          "video_get_status",
          "video_get_volume",
          "video_is_looping",
          "video_open",
          "video_pause",
          "video_resume",
          "video_seek_to",
          "video_set_volume",
          "view_get_camera",
          "view_get_hport",
          "view_get_surface_id",
          "view_get_visible",
          "view_get_wport",
          "view_get_xport",
          "view_get_yport",
          "view_set_camera",
          "view_set_hport",
          "view_set_surface_id",
          "view_set_visible",
          "view_set_wport",
          "view_set_xport",
          "view_set_yport",
          "virtual_key_add",
          "virtual_key_delete",
          "virtual_key_hide",
          "virtual_key_show",
          "wallpaper_set_config",
          "wallpaper_set_subscriptions",
          "weak_ref_alive",
          "weak_ref_any_alive",
          "weak_ref_create",
          "window_center",
          "window_device",
          "window_enable_borderless_fullscreen",
          "window_get_borderless_fullscreen",
          "window_get_caption",
          "window_get_color",
          "window_get_colour",
          "window_get_cursor",
          "window_get_fullscreen",
          "window_get_height",
          "window_get_showborder",
          "window_get_visible_rects",
          "window_get_width",
          "window_get_x",
          "window_get_y",
          "window_handle",
          "window_has_focus",
          "window_mouse_get_delta_x",
          "window_mouse_get_delta_y",
          "window_mouse_get_locked",
          "window_mouse_get_x",
          "window_mouse_get_y",
          "window_mouse_set",
          "window_mouse_set_locked",
          "window_set_caption",
          "window_set_color",
          "window_set_colour",
          "window_set_cursor",
          "window_set_fullscreen",
          "window_set_max_height",
          "window_set_max_width",
          "window_set_min_height",
          "window_set_min_width",
          "window_set_position",
          "window_set_rectangle",
          "window_set_showborder",
          "window_set_size",
          "window_view_mouse_get_x",
          "window_view_mouse_get_y",
          "window_views_mouse_get_x",
          "window_views_mouse_get_y",
          "winphone_tile_background_color",
          "winphone_tile_background_colour",
          "zip_add_file",
          "zip_create",
          "zip_save",
          "zip_unzip",
          "zip_unzip_async"
        ];
        const SYMBOLS = [
          "AudioEffect",
          "AudioEffectType",
          "AudioLFOType",
          "GM_build_date",
          "GM_build_type",
          "GM_is_sandboxed",
          "GM_project_filename",
          "GM_runtime_version",
          "GM_version",
          "NaN",
          "_GMFILE_",
          "_GMFUNCTION_",
          "_GMLINE_",
          "alignmentH",
          "alignmentV",
          "all",
          "animcurvetype_bezier",
          "animcurvetype_catmullrom",
          "animcurvetype_linear",
          "asset_animationcurve",
          "asset_font",
          "asset_object",
          "asset_path",
          "asset_room",
          "asset_script",
          "asset_sequence",
          "asset_shader",
          "asset_sound",
          "asset_sprite",
          "asset_tiles",
          "asset_timeline",
          "asset_unknown",
          "audio_3D",
          "audio_bus_main",
          "audio_falloff_exponent_distance",
          "audio_falloff_exponent_distance_clamped",
          "audio_falloff_exponent_distance_scaled",
          "audio_falloff_inverse_distance",
          "audio_falloff_inverse_distance_clamped",
          "audio_falloff_inverse_distance_scaled",
          "audio_falloff_linear_distance",
          "audio_falloff_linear_distance_clamped",
          "audio_falloff_none",
          "audio_mono",
          "audio_stereo",
          "bboxkind_diamond",
          "bboxkind_ellipse",
          "bboxkind_precise",
          "bboxkind_rectangular",
          "bboxmode_automatic",
          "bboxmode_fullimage",
          "bboxmode_manual",
          "bm_add",
          "bm_dest_alpha",
          "bm_dest_color",
          "bm_dest_colour",
          "bm_inv_dest_alpha",
          "bm_inv_dest_color",
          "bm_inv_dest_colour",
          "bm_inv_src_alpha",
          "bm_inv_src_color",
          "bm_inv_src_colour",
          "bm_max",
          "bm_normal",
          "bm_one",
          "bm_src_alpha",
          "bm_src_alpha_sat",
          "bm_src_color",
          "bm_src_colour",
          "bm_subtract",
          "bm_zero",
          "browser_chrome",
          "browser_edge",
          "browser_firefox",
          "browser_ie",
          "browser_ie_mobile",
          "browser_not_a_browser",
          "browser_opera",
          "browser_safari",
          "browser_safari_mobile",
          "browser_tizen",
          "browser_unknown",
          "browser_windows_store",
          "buffer_bool",
          "buffer_f16",
          "buffer_f32",
          "buffer_f64",
          "buffer_fast",
          "buffer_fixed",
          "buffer_grow",
          "buffer_s16",
          "buffer_s32",
          "buffer_s8",
          "buffer_seek_end",
          "buffer_seek_relative",
          "buffer_seek_start",
          "buffer_string",
          "buffer_text",
          "buffer_u16",
          "buffer_u32",
          "buffer_u64",
          "buffer_u8",
          "buffer_vbuffer",
          "buffer_wrap",
          "c_aqua",
          "c_black",
          "c_blue",
          "c_dkgray",
          "c_dkgrey",
          "c_fuchsia",
          "c_gray",
          "c_green",
          "c_grey",
          "c_lime",
          "c_ltgray",
          "c_ltgrey",
          "c_maroon",
          "c_navy",
          "c_olive",
          "c_orange",
          "c_purple",
          "c_red",
          "c_silver",
          "c_teal",
          "c_white",
          "c_yellow",
          "cache_directory",
          "characterSpacing",
          "cmpfunc_always",
          "cmpfunc_equal",
          "cmpfunc_greater",
          "cmpfunc_greaterequal",
          "cmpfunc_less",
          "cmpfunc_lessequal",
          "cmpfunc_never",
          "cmpfunc_notequal",
          "coreColor",
          "coreColour",
          "cr_appstart",
          "cr_arrow",
          "cr_beam",
          "cr_cross",
          "cr_default",
          "cr_drag",
          "cr_handpoint",
          "cr_hourglass",
          "cr_none",
          "cr_size_all",
          "cr_size_nesw",
          "cr_size_ns",
          "cr_size_nwse",
          "cr_size_we",
          "cr_uparrow",
          "cull_clockwise",
          "cull_counterclockwise",
          "cull_noculling",
          "device_emulator",
          "device_ios_ipad",
          "device_ios_ipad_retina",
          "device_ios_iphone",
          "device_ios_iphone5",
          "device_ios_iphone6",
          "device_ios_iphone6plus",
          "device_ios_iphone_retina",
          "device_ios_unknown",
          "device_tablet",
          "display_landscape",
          "display_landscape_flipped",
          "display_portrait",
          "display_portrait_flipped",
          "dll_cdecl",
          "dll_stdcall",
          "dropShadowEnabled",
          "dropShadowEnabled",
          "ds_type_grid",
          "ds_type_list",
          "ds_type_map",
          "ds_type_priority",
          "ds_type_queue",
          "ds_type_stack",
          "ef_cloud",
          "ef_ellipse",
          "ef_explosion",
          "ef_firework",
          "ef_flare",
          "ef_rain",
          "ef_ring",
          "ef_smoke",
          "ef_smokeup",
          "ef_snow",
          "ef_spark",
          "ef_star",
          "effectsEnabled",
          "effectsEnabled",
          "ev_alarm",
          "ev_animation_end",
          "ev_animation_event",
          "ev_animation_update",
          "ev_async_audio_playback",
          "ev_async_audio_playback_ended",
          "ev_async_audio_recording",
          "ev_async_dialog",
          "ev_async_push_notification",
          "ev_async_save_load",
          "ev_async_save_load",
          "ev_async_social",
          "ev_async_system_event",
          "ev_async_web",
          "ev_async_web_cloud",
          "ev_async_web_iap",
          "ev_async_web_image_load",
          "ev_async_web_networking",
          "ev_async_web_steam",
          "ev_audio_playback",
          "ev_audio_playback_ended",
          "ev_audio_recording",
          "ev_boundary",
          "ev_boundary_view0",
          "ev_boundary_view1",
          "ev_boundary_view2",
          "ev_boundary_view3",
          "ev_boundary_view4",
          "ev_boundary_view5",
          "ev_boundary_view6",
          "ev_boundary_view7",
          "ev_broadcast_message",
          "ev_cleanup",
          "ev_collision",
          "ev_create",
          "ev_destroy",
          "ev_dialog_async",
          "ev_draw",
          "ev_draw_begin",
          "ev_draw_end",
          "ev_draw_normal",
          "ev_draw_post",
          "ev_draw_pre",
          "ev_end_of_path",
          "ev_game_end",
          "ev_game_start",
          "ev_gesture",
          "ev_gesture_double_tap",
          "ev_gesture_drag_end",
          "ev_gesture_drag_start",
          "ev_gesture_dragging",
          "ev_gesture_flick",
          "ev_gesture_pinch_end",
          "ev_gesture_pinch_in",
          "ev_gesture_pinch_out",
          "ev_gesture_pinch_start",
          "ev_gesture_rotate_end",
          "ev_gesture_rotate_start",
          "ev_gesture_rotating",
          "ev_gesture_tap",
          "ev_global_gesture_double_tap",
          "ev_global_gesture_drag_end",
          "ev_global_gesture_drag_start",
          "ev_global_gesture_dragging",
          "ev_global_gesture_flick",
          "ev_global_gesture_pinch_end",
          "ev_global_gesture_pinch_in",
          "ev_global_gesture_pinch_out",
          "ev_global_gesture_pinch_start",
          "ev_global_gesture_rotate_end",
          "ev_global_gesture_rotate_start",
          "ev_global_gesture_rotating",
          "ev_global_gesture_tap",
          "ev_global_left_button",
          "ev_global_left_press",
          "ev_global_left_release",
          "ev_global_middle_button",
          "ev_global_middle_press",
          "ev_global_middle_release",
          "ev_global_right_button",
          "ev_global_right_press",
          "ev_global_right_release",
          "ev_gui",
          "ev_gui_begin",
          "ev_gui_end",
          "ev_joystick1_button1",
          "ev_joystick1_button2",
          "ev_joystick1_button3",
          "ev_joystick1_button4",
          "ev_joystick1_button5",
          "ev_joystick1_button6",
          "ev_joystick1_button7",
          "ev_joystick1_button8",
          "ev_joystick1_down",
          "ev_joystick1_left",
          "ev_joystick1_right",
          "ev_joystick1_up",
          "ev_joystick2_button1",
          "ev_joystick2_button2",
          "ev_joystick2_button3",
          "ev_joystick2_button4",
          "ev_joystick2_button5",
          "ev_joystick2_button6",
          "ev_joystick2_button7",
          "ev_joystick2_button8",
          "ev_joystick2_down",
          "ev_joystick2_left",
          "ev_joystick2_right",
          "ev_joystick2_up",
          "ev_keyboard",
          "ev_keypress",
          "ev_keyrelease",
          "ev_left_button",
          "ev_left_press",
          "ev_left_release",
          "ev_middle_button",
          "ev_middle_press",
          "ev_middle_release",
          "ev_mouse",
          "ev_mouse_enter",
          "ev_mouse_leave",
          "ev_mouse_wheel_down",
          "ev_mouse_wheel_up",
          "ev_no_button",
          "ev_no_more_health",
          "ev_no_more_lives",
          "ev_other",
          "ev_outside",
          "ev_outside_view0",
          "ev_outside_view1",
          "ev_outside_view2",
          "ev_outside_view3",
          "ev_outside_view4",
          "ev_outside_view5",
          "ev_outside_view6",
          "ev_outside_view7",
          "ev_pre_create",
          "ev_push_notification",
          "ev_right_button",
          "ev_right_press",
          "ev_right_release",
          "ev_room_end",
          "ev_room_start",
          "ev_social",
          "ev_step",
          "ev_step_begin",
          "ev_step_end",
          "ev_step_normal",
          "ev_system_event",
          "ev_trigger",
          "ev_user0",
          "ev_user1",
          "ev_user10",
          "ev_user11",
          "ev_user12",
          "ev_user13",
          "ev_user14",
          "ev_user15",
          "ev_user2",
          "ev_user3",
          "ev_user4",
          "ev_user5",
          "ev_user6",
          "ev_user7",
          "ev_user8",
          "ev_user9",
          "ev_web_async",
          "ev_web_cloud",
          "ev_web_iap",
          "ev_web_image_load",
          "ev_web_networking",
          "ev_web_sound_load",
          "ev_web_steam",
          "fa_archive",
          "fa_bottom",
          "fa_center",
          "fa_directory",
          "fa_hidden",
          "fa_left",
          "fa_middle",
          "fa_none",
          "fa_readonly",
          "fa_right",
          "fa_sysfile",
          "fa_top",
          "fa_volumeid",
          "false",
          "frameSizeX",
          "frameSizeY",
          "gamespeed_fps",
          "gamespeed_microseconds",
          "global",
          "glowColor",
          "glowColour",
          "glowEnabled",
          "glowEnabled",
          "glowEnd",
          "glowStart",
          "gp_axis_acceleration_x",
          "gp_axis_acceleration_y",
          "gp_axis_acceleration_z",
          "gp_axis_angular_velocity_x",
          "gp_axis_angular_velocity_y",
          "gp_axis_angular_velocity_z",
          "gp_axis_orientation_w",
          "gp_axis_orientation_x",
          "gp_axis_orientation_y",
          "gp_axis_orientation_z",
          "gp_axislh",
          "gp_axislv",
          "gp_axisrh",
          "gp_axisrv",
          "gp_face1",
          "gp_face2",
          "gp_face3",
          "gp_face4",
          "gp_padd",
          "gp_padl",
          "gp_padr",
          "gp_padu",
          "gp_select",
          "gp_shoulderl",
          "gp_shoulderlb",
          "gp_shoulderr",
          "gp_shoulderrb",
          "gp_start",
          "gp_stickl",
          "gp_stickr",
          "iap_available",
          "iap_canceled",
          "iap_ev_consume",
          "iap_ev_product",
          "iap_ev_purchase",
          "iap_ev_restore",
          "iap_ev_storeload",
          "iap_failed",
          "iap_purchased",
          "iap_refunded",
          "iap_status_available",
          "iap_status_loading",
          "iap_status_processing",
          "iap_status_restoring",
          "iap_status_unavailable",
          "iap_status_uninitialised",
          "iap_storeload_failed",
          "iap_storeload_ok",
          "iap_unavailable",
          "infinity",
          "kbv_autocapitalize_characters",
          "kbv_autocapitalize_none",
          "kbv_autocapitalize_sentences",
          "kbv_autocapitalize_words",
          "kbv_returnkey_continue",
          "kbv_returnkey_default",
          "kbv_returnkey_done",
          "kbv_returnkey_emergency",
          "kbv_returnkey_go",
          "kbv_returnkey_google",
          "kbv_returnkey_join",
          "kbv_returnkey_next",
          "kbv_returnkey_route",
          "kbv_returnkey_search",
          "kbv_returnkey_send",
          "kbv_returnkey_yahoo",
          "kbv_type_ascii",
          "kbv_type_default",
          "kbv_type_email",
          "kbv_type_numbers",
          "kbv_type_phone",
          "kbv_type_phone_name",
          "kbv_type_url",
          "layerelementtype_background",
          "layerelementtype_instance",
          "layerelementtype_oldtilemap",
          "layerelementtype_particlesystem",
          "layerelementtype_sequence",
          "layerelementtype_sprite",
          "layerelementtype_tile",
          "layerelementtype_tilemap",
          "layerelementtype_undefined",
          "leaderboard_type_number",
          "leaderboard_type_time_mins_secs",
          "lighttype_dir",
          "lighttype_point",
          "lineSpacing",
          "m_axisx",
          "m_axisx_gui",
          "m_axisy",
          "m_axisy_gui",
          "m_scroll_down",
          "m_scroll_up",
          "matrix_projection",
          "matrix_view",
          "matrix_world",
          "mb_any",
          "mb_left",
          "mb_middle",
          "mb_none",
          "mb_right",
          "mb_side1",
          "mb_side2",
          "mip_markedonly",
          "mip_off",
          "mip_on",
          "network_config_avoid_time_wait",
          "network_config_connect_timeout",
          "network_config_disable_multicast",
          "network_config_disable_reliable_udp",
          "network_config_enable_multicast",
          "network_config_enable_reliable_udp",
          "network_config_use_non_blocking_socket",
          "network_config_websocket_protocol",
          "network_connect_active",
          "network_connect_blocking",
          "network_connect_nonblocking",
          "network_connect_none",
          "network_connect_passive",
          "network_send_binary",
          "network_send_text",
          "network_socket_bluetooth",
          "network_socket_tcp",
          "network_socket_udp",
          "network_socket_ws",
          "network_socket_wss",
          "network_type_connect",
          "network_type_data",
          "network_type_disconnect",
          "network_type_down",
          "network_type_non_blocking_connect",
          "network_type_up",
          "network_type_up_failed",
          "nineslice_blank",
          "nineslice_bottom",
          "nineslice_center",
          "nineslice_centre",
          "nineslice_hide",
          "nineslice_left",
          "nineslice_mirror",
          "nineslice_repeat",
          "nineslice_right",
          "nineslice_stretch",
          "nineslice_top",
          "noone",
          "of_challenge_lose",
          "of_challenge_tie",
          "of_challenge_win",
          "os_android",
          "os_gdk",
          "os_gxgames",
          "os_ios",
          "os_linux",
          "os_macosx",
          "os_operagx",
          "os_permission_denied",
          "os_permission_denied_dont_request",
          "os_permission_granted",
          "os_ps3",
          "os_ps4",
          "os_ps5",
          "os_psvita",
          "os_switch",
          "os_tvos",
          "os_unknown",
          "os_uwp",
          "os_win8native",
          "os_windows",
          "os_winphone",
          "os_xboxone",
          "os_xboxseriesxs",
          "other",
          "outlineColor",
          "outlineColour",
          "outlineDist",
          "outlineEnabled",
          "outlineEnabled",
          "paragraphSpacing",
          "path_action_continue",
          "path_action_restart",
          "path_action_reverse",
          "path_action_stop",
          "phy_debug_render_aabb",
          "phy_debug_render_collision_pairs",
          "phy_debug_render_coms",
          "phy_debug_render_core_shapes",
          "phy_debug_render_joints",
          "phy_debug_render_obb",
          "phy_debug_render_shapes",
          "phy_joint_anchor_1_x",
          "phy_joint_anchor_1_y",
          "phy_joint_anchor_2_x",
          "phy_joint_anchor_2_y",
          "phy_joint_angle",
          "phy_joint_angle_limits",
          "phy_joint_damping_ratio",
          "phy_joint_frequency",
          "phy_joint_length_1",
          "phy_joint_length_2",
          "phy_joint_lower_angle_limit",
          "phy_joint_max_force",
          "phy_joint_max_length",
          "phy_joint_max_motor_force",
          "phy_joint_max_motor_torque",
          "phy_joint_max_torque",
          "phy_joint_motor_force",
          "phy_joint_motor_speed",
          "phy_joint_motor_torque",
          "phy_joint_reaction_force_x",
          "phy_joint_reaction_force_y",
          "phy_joint_reaction_torque",
          "phy_joint_speed",
          "phy_joint_translation",
          "phy_joint_upper_angle_limit",
          "phy_particle_data_flag_category",
          "phy_particle_data_flag_color",
          "phy_particle_data_flag_colour",
          "phy_particle_data_flag_position",
          "phy_particle_data_flag_typeflags",
          "phy_particle_data_flag_velocity",
          "phy_particle_flag_colormixing",
          "phy_particle_flag_colourmixing",
          "phy_particle_flag_elastic",
          "phy_particle_flag_powder",
          "phy_particle_flag_spring",
          "phy_particle_flag_tensile",
          "phy_particle_flag_viscous",
          "phy_particle_flag_wall",
          "phy_particle_flag_water",
          "phy_particle_flag_zombie",
          "phy_particle_group_flag_rigid",
          "phy_particle_group_flag_solid",
          "pi",
          "pointer_invalid",
          "pointer_null",
          "pr_linelist",
          "pr_linestrip",
          "pr_pointlist",
          "pr_trianglefan",
          "pr_trianglelist",
          "pr_trianglestrip",
          "ps_distr_gaussian",
          "ps_distr_invgaussian",
          "ps_distr_linear",
          "ps_mode_burst",
          "ps_mode_stream",
          "ps_shape_diamond",
          "ps_shape_ellipse",
          "ps_shape_line",
          "ps_shape_rectangle",
          "pt_shape_circle",
          "pt_shape_cloud",
          "pt_shape_disk",
          "pt_shape_explosion",
          "pt_shape_flare",
          "pt_shape_line",
          "pt_shape_pixel",
          "pt_shape_ring",
          "pt_shape_smoke",
          "pt_shape_snow",
          "pt_shape_spark",
          "pt_shape_sphere",
          "pt_shape_square",
          "pt_shape_star",
          "rollback_chat_message",
          "rollback_connect_error",
          "rollback_connect_info",
          "rollback_connected_to_peer",
          "rollback_connection_rejected",
          "rollback_disconnected_from_peer",
          "rollback_end_game",
          "rollback_game_full",
          "rollback_game_info",
          "rollback_game_interrupted",
          "rollback_game_resumed",
          "rollback_high_latency",
          "rollback_player_prefs",
          "rollback_protocol_rejected",
          "rollback_synchronized_with_peer",
          "rollback_synchronizing_with_peer",
          "self",
          "seqaudiokey_loop",
          "seqaudiokey_oneshot",
          "seqdir_left",
          "seqdir_right",
          "seqinterpolation_assign",
          "seqinterpolation_lerp",
          "seqplay_loop",
          "seqplay_oneshot",
          "seqplay_pingpong",
          "seqtextkey_bottom",
          "seqtextkey_center",
          "seqtextkey_justify",
          "seqtextkey_left",
          "seqtextkey_middle",
          "seqtextkey_right",
          "seqtextkey_top",
          "seqtracktype_audio",
          "seqtracktype_bool",
          "seqtracktype_clipmask",
          "seqtracktype_clipmask_mask",
          "seqtracktype_clipmask_subject",
          "seqtracktype_color",
          "seqtracktype_colour",
          "seqtracktype_empty",
          "seqtracktype_graphic",
          "seqtracktype_group",
          "seqtracktype_instance",
          "seqtracktype_message",
          "seqtracktype_moment",
          "seqtracktype_particlesystem",
          "seqtracktype_real",
          "seqtracktype_sequence",
          "seqtracktype_spriteframes",
          "seqtracktype_string",
          "seqtracktype_text",
          "shadowColor",
          "shadowColour",
          "shadowOffsetX",
          "shadowOffsetY",
          "shadowSoftness",
          "sprite_add_ext_error_cancelled",
          "sprite_add_ext_error_decompressfailed",
          "sprite_add_ext_error_loadfailed",
          "sprite_add_ext_error_setupfailed",
          "sprite_add_ext_error_spritenotfound",
          "sprite_add_ext_error_unknown",
          "spritespeed_framespergameframe",
          "spritespeed_framespersecond",
          "surface_r16float",
          "surface_r32float",
          "surface_r8unorm",
          "surface_rg8unorm",
          "surface_rgba16float",
          "surface_rgba32float",
          "surface_rgba4unorm",
          "surface_rgba8unorm",
          "texturegroup_status_fetched",
          "texturegroup_status_loaded",
          "texturegroup_status_loading",
          "texturegroup_status_unloaded",
          "tf_anisotropic",
          "tf_linear",
          "tf_point",
          "thickness",
          "tile_flip",
          "tile_index_mask",
          "tile_mirror",
          "tile_rotate",
          "time_source_expire_after",
          "time_source_expire_nearest",
          "time_source_game",
          "time_source_global",
          "time_source_state_active",
          "time_source_state_initial",
          "time_source_state_paused",
          "time_source_state_stopped",
          "time_source_units_frames",
          "time_source_units_seconds",
          "timezone_local",
          "timezone_utc",
          "tm_countvsyncs",
          "tm_sleep",
          "tm_systemtiming",
          "true",
          "ty_real",
          "ty_string",
          "undefined",
          "vertex_type_color",
          "vertex_type_colour",
          "vertex_type_float1",
          "vertex_type_float2",
          "vertex_type_float3",
          "vertex_type_float4",
          "vertex_type_ubyte4",
          "vertex_usage_binormal",
          "vertex_usage_blendindices",
          "vertex_usage_blendweight",
          "vertex_usage_color",
          "vertex_usage_colour",
          "vertex_usage_depth",
          "vertex_usage_fog",
          "vertex_usage_normal",
          "vertex_usage_position",
          "vertex_usage_psize",
          "vertex_usage_sample",
          "vertex_usage_tangent",
          "vertex_usage_texcoord",
          "video_format_rgba",
          "video_format_yuv",
          "video_status_closed",
          "video_status_paused",
          "video_status_playing",
          "video_status_preparing",
          "vk_add",
          "vk_alt",
          "vk_anykey",
          "vk_backspace",
          "vk_control",
          "vk_decimal",
          "vk_delete",
          "vk_divide",
          "vk_down",
          "vk_end",
          "vk_enter",
          "vk_escape",
          "vk_f1",
          "vk_f10",
          "vk_f11",
          "vk_f12",
          "vk_f2",
          "vk_f3",
          "vk_f4",
          "vk_f5",
          "vk_f6",
          "vk_f7",
          "vk_f8",
          "vk_f9",
          "vk_home",
          "vk_insert",
          "vk_lalt",
          "vk_lcontrol",
          "vk_left",
          "vk_lshift",
          "vk_multiply",
          "vk_nokey",
          "vk_numpad0",
          "vk_numpad1",
          "vk_numpad2",
          "vk_numpad3",
          "vk_numpad4",
          "vk_numpad5",
          "vk_numpad6",
          "vk_numpad7",
          "vk_numpad8",
          "vk_numpad9",
          "vk_pagedown",
          "vk_pageup",
          "vk_pause",
          "vk_printscreen",
          "vk_ralt",
          "vk_rcontrol",
          "vk_return",
          "vk_right",
          "vk_rshift",
          "vk_shift",
          "vk_space",
          "vk_subtract",
          "vk_tab",
          "vk_up",
          "wallpaper_config",
          "wallpaper_subscription_data",
          "wrap"
        ];
        const LANGUAGE_VARIABLES = [
          "alarm",
          "application_surface",
          "argument",
          "argument0",
          "argument1",
          "argument2",
          "argument3",
          "argument4",
          "argument5",
          "argument6",
          "argument7",
          "argument8",
          "argument9",
          "argument10",
          "argument11",
          "argument12",
          "argument13",
          "argument14",
          "argument15",
          "argument_count",
          "async_load",
          "background_color",
          "background_colour",
          "background_showcolor",
          "background_showcolour",
          "bbox_bottom",
          "bbox_left",
          "bbox_right",
          "bbox_top",
          "browser_height",
          "browser_width",
          "colour?ColourTrack",
          "current_day",
          "current_hour",
          "current_minute",
          "current_month",
          "current_second",
          "current_time",
          "current_weekday",
          "current_year",
          "cursor_sprite",
          "debug_mode",
          "delta_time",
          "depth",
          "direction",
          "display_aa",
          "drawn_by_sequence",
          "event_action",
          "event_data",
          "event_number",
          "event_object",
          "event_type",
          "font_texture_page_size",
          "fps",
          "fps_real",
          "friction",
          "game_display_name",
          "game_id",
          "game_project_name",
          "game_save_id",
          "gravity",
          "gravity_direction",
          "health",
          "hspeed",
          "iap_data",
          "id",
          "image_alpha",
          "image_angle",
          "image_blend",
          "image_index",
          "image_number",
          "image_speed",
          "image_xscale",
          "image_yscale",
          "in_collision_tree",
          "in_sequence",
          "instance_count",
          "instance_id",
          "keyboard_key",
          "keyboard_lastchar",
          "keyboard_lastkey",
          "keyboard_string",
          "layer",
          "lives",
          "longMessage",
          "managed",
          "mask_index",
          "message",
          "mouse_button",
          "mouse_lastbutton",
          "mouse_x",
          "mouse_y",
          "object_index",
          "os_browser",
          "os_device",
          "os_type",
          "os_version",
          "path_endaction",
          "path_index",
          "path_orientation",
          "path_position",
          "path_positionprevious",
          "path_scale",
          "path_speed",
          "persistent",
          "phy_active",
          "phy_angular_damping",
          "phy_angular_velocity",
          "phy_bullet",
          "phy_col_normal_x",
          "phy_col_normal_y",
          "phy_collision_points",
          "phy_collision_x",
          "phy_collision_y",
          "phy_com_x",
          "phy_com_y",
          "phy_dynamic",
          "phy_fixed_rotation",
          "phy_inertia",
          "phy_kinematic",
          "phy_linear_damping",
          "phy_linear_velocity_x",
          "phy_linear_velocity_y",
          "phy_mass",
          "phy_position_x",
          "phy_position_xprevious",
          "phy_position_y",
          "phy_position_yprevious",
          "phy_rotation",
          "phy_sleeping",
          "phy_speed",
          "phy_speed_x",
          "phy_speed_y",
          "player_avatar_sprite",
          "player_avatar_url",
          "player_id",
          "player_local",
          "player_type",
          "player_user_id",
          "program_directory",
          "rollback_api_server",
          "rollback_confirmed_frame",
          "rollback_current_frame",
          "rollback_event_id",
          "rollback_event_param",
          "rollback_game_running",
          "room",
          "room_first",
          "room_height",
          "room_last",
          "room_persistent",
          "room_speed",
          "room_width",
          "score",
          "script",
          "sequence_instance",
          "solid",
          "speed",
          "sprite_height",
          "sprite_index",
          "sprite_width",
          "sprite_xoffset",
          "sprite_yoffset",
          "stacktrace",
          "temp_directory",
          "timeline_index",
          "timeline_loop",
          "timeline_position",
          "timeline_running",
          "timeline_speed",
          "view_camera",
          "view_current",
          "view_enabled",
          "view_hport",
          "view_surface_id",
          "view_visible",
          "view_wport",
          "view_xport",
          "view_yport",
          "visible",
          "vspeed",
          "webgl_enabled",
          "working_directory",
          "x",
          "xprevious",
          "xstart",
          "y",
          "yprevious",
          "ystart"
        ];
        return {
          name: "GML",
          case_insensitive: false,
          // language is case-insensitive
          keywords: {
            keyword: KEYWORDS,
            built_in: BUILT_INS,
            symbol: SYMBOLS,
            "variable.language": LANGUAGE_VARIABLES
          },
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.C_NUMBER_MODE
          ]
        };
      }
      module.exports = gml;
    }
  });

  // node_modules/highlight.js/lib/languages/go.js
  var require_go = __commonJS({
    "node_modules/highlight.js/lib/languages/go.js"(exports, module) {
      function go(hljs) {
        const LITERALS = [
          "true",
          "false",
          "iota",
          "nil"
        ];
        const BUILT_INS = [
          "append",
          "cap",
          "close",
          "complex",
          "copy",
          "imag",
          "len",
          "make",
          "new",
          "panic",
          "print",
          "println",
          "real",
          "recover",
          "delete"
        ];
        const TYPES = [
          "bool",
          "byte",
          "complex64",
          "complex128",
          "error",
          "float32",
          "float64",
          "int8",
          "int16",
          "int32",
          "int64",
          "string",
          "uint8",
          "uint16",
          "uint32",
          "uint64",
          "int",
          "uint",
          "uintptr",
          "rune"
        ];
        const KWS = [
          "break",
          "case",
          "chan",
          "const",
          "continue",
          "default",
          "defer",
          "else",
          "fallthrough",
          "for",
          "func",
          "go",
          "goto",
          "if",
          "import",
          "interface",
          "map",
          "package",
          "range",
          "return",
          "select",
          "struct",
          "switch",
          "type",
          "var"
        ];
        const KEYWORDS = {
          keyword: KWS,
          type: TYPES,
          literal: LITERALS,
          built_in: BUILT_INS
        };
        return {
          name: "Go",
          aliases: ["golang"],
          keywords: KEYWORDS,
          illegal: "</",
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            {
              className: "string",
              variants: [
                hljs.QUOTE_STRING_MODE,
                hljs.APOS_STRING_MODE,
                {
                  begin: "`",
                  end: "`"
                }
              ]
            },
            {
              className: "number",
              variants: [
                {
                  match: /-?\b0[xX]\.[a-fA-F0-9](_?[a-fA-F0-9])*[pP][+-]?\d(_?\d)*i?/,
                  // hex without a present digit before . (making a digit afterwards required)
                  relevance: 0
                },
                {
                  match: /-?\b0[xX](_?[a-fA-F0-9])+((\.([a-fA-F0-9](_?[a-fA-F0-9])*)?)?[pP][+-]?\d(_?\d)*)?i?/,
                  // hex with a present digit before . (making a digit afterwards optional)
                  relevance: 0
                },
                {
                  match: /-?\b0[oO](_?[0-7])*i?/,
                  // leading 0o octal
                  relevance: 0
                },
                {
                  match: /-?\.\d(_?\d)*([eE][+-]?\d(_?\d)*)?i?/,
                  // decimal without a present digit before . (making a digit afterwards required)
                  relevance: 0
                },
                {
                  match: /-?\b\d(_?\d)*(\.(\d(_?\d)*)?)?([eE][+-]?\d(_?\d)*)?i?/,
                  // decimal with a present digit before . (making a digit afterwards optional)
                  relevance: 0
                }
              ]
            },
            {
              begin: /:=/
              // relevance booster
            },
            {
              className: "function",
              beginKeywords: "func",
              end: "\\s*(\\{|$)",
              excludeEnd: true,
              contains: [
                hljs.TITLE_MODE,
                {
                  className: "params",
                  begin: /\(/,
                  end: /\)/,
                  endsParent: true,
                  keywords: KEYWORDS,
                  illegal: /["']/
                }
              ]
            }
          ]
        };
      }
      module.exports = go;
    }
  });

  // node_modules/highlight.js/lib/languages/golo.js
  var require_golo = __commonJS({
    "node_modules/highlight.js/lib/languages/golo.js"(exports, module) {
      function golo(hljs) {
        const KEYWORDS = [
          "println",
          "readln",
          "print",
          "import",
          "module",
          "function",
          "local",
          "return",
          "let",
          "var",
          "while",
          "for",
          "foreach",
          "times",
          "in",
          "case",
          "when",
          "match",
          "with",
          "break",
          "continue",
          "augment",
          "augmentation",
          "each",
          "find",
          "filter",
          "reduce",
          "if",
          "then",
          "else",
          "otherwise",
          "try",
          "catch",
          "finally",
          "raise",
          "throw",
          "orIfNull",
          "DynamicObject|10",
          "DynamicVariable",
          "struct",
          "Observable",
          "map",
          "set",
          "vector",
          "list",
          "array"
        ];
        return {
          name: "Golo",
          keywords: {
            keyword: KEYWORDS,
            literal: [
              "true",
              "false",
              "null"
            ]
          },
          contains: [
            hljs.HASH_COMMENT_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.C_NUMBER_MODE,
            {
              className: "meta",
              begin: "@[A-Za-z]+"
            }
          ]
        };
      }
      module.exports = golo;
    }
  });

  // node_modules/highlight.js/lib/languages/gradle.js
  var require_gradle = __commonJS({
    "node_modules/highlight.js/lib/languages/gradle.js"(exports, module) {
      function gradle(hljs) {
        const KEYWORDS = [
          "task",
          "project",
          "allprojects",
          "subprojects",
          "artifacts",
          "buildscript",
          "configurations",
          "dependencies",
          "repositories",
          "sourceSets",
          "description",
          "delete",
          "from",
          "into",
          "include",
          "exclude",
          "source",
          "classpath",
          "destinationDir",
          "includes",
          "options",
          "sourceCompatibility",
          "targetCompatibility",
          "group",
          "flatDir",
          "doLast",
          "doFirst",
          "flatten",
          "todir",
          "fromdir",
          "ant",
          "def",
          "abstract",
          "break",
          "case",
          "catch",
          "continue",
          "default",
          "do",
          "else",
          "extends",
          "final",
          "finally",
          "for",
          "if",
          "implements",
          "instanceof",
          "native",
          "new",
          "private",
          "protected",
          "public",
          "return",
          "static",
          "switch",
          "synchronized",
          "throw",
          "throws",
          "transient",
          "try",
          "volatile",
          "while",
          "strictfp",
          "package",
          "import",
          "false",
          "null",
          "super",
          "this",
          "true",
          "antlrtask",
          "checkstyle",
          "codenarc",
          "copy",
          "boolean",
          "byte",
          "char",
          "class",
          "double",
          "float",
          "int",
          "interface",
          "long",
          "short",
          "void",
          "compile",
          "runTime",
          "file",
          "fileTree",
          "abs",
          "any",
          "append",
          "asList",
          "asWritable",
          "call",
          "collect",
          "compareTo",
          "count",
          "div",
          "dump",
          "each",
          "eachByte",
          "eachFile",
          "eachLine",
          "every",
          "find",
          "findAll",
          "flatten",
          "getAt",
          "getErr",
          "getIn",
          "getOut",
          "getText",
          "grep",
          "immutable",
          "inject",
          "inspect",
          "intersect",
          "invokeMethods",
          "isCase",
          "join",
          "leftShift",
          "minus",
          "multiply",
          "newInputStream",
          "newOutputStream",
          "newPrintWriter",
          "newReader",
          "newWriter",
          "next",
          "plus",
          "pop",
          "power",
          "previous",
          "print",
          "println",
          "push",
          "putAt",
          "read",
          "readBytes",
          "readLines",
          "reverse",
          "reverseEach",
          "round",
          "size",
          "sort",
          "splitEachLine",
          "step",
          "subMap",
          "times",
          "toInteger",
          "toList",
          "tokenize",
          "upto",
          "waitForOrKill",
          "withPrintWriter",
          "withReader",
          "withStream",
          "withWriter",
          "withWriterAppend",
          "write",
          "writeLine"
        ];
        return {
          name: "Gradle",
          case_insensitive: true,
          keywords: KEYWORDS,
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.NUMBER_MODE,
            hljs.REGEXP_MODE
          ]
        };
      }
      module.exports = gradle;
    }
  });

  // node_modules/highlight.js/lib/languages/graphql.js
  var require_graphql = __commonJS({
    "node_modules/highlight.js/lib/languages/graphql.js"(exports, module) {
      function graphql(hljs) {
        const regex = hljs.regex;
        const GQL_NAME = /[_A-Za-z][_0-9A-Za-z]*/;
        return {
          name: "GraphQL",
          aliases: ["gql"],
          case_insensitive: true,
          disableAutodetect: false,
          keywords: {
            keyword: [
              "query",
              "mutation",
              "subscription",
              "type",
              "input",
              "schema",
              "directive",
              "interface",
              "union",
              "scalar",
              "fragment",
              "enum",
              "on"
            ],
            literal: [
              "true",
              "false",
              "null"
            ]
          },
          contains: [
            hljs.HASH_COMMENT_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.NUMBER_MODE,
            {
              scope: "punctuation",
              match: /[.]{3}/,
              relevance: 0
            },
            {
              scope: "punctuation",
              begin: /[\!\(\)\:\=\[\]\{\|\}]{1}/,
              relevance: 0
            },
            {
              scope: "variable",
              begin: /\$/,
              end: /\W/,
              excludeEnd: true,
              relevance: 0
            },
            {
              scope: "meta",
              match: /@\w+/,
              excludeEnd: true
            },
            {
              scope: "symbol",
              begin: regex.concat(GQL_NAME, regex.lookahead(/\s*:/)),
              relevance: 0
            }
          ],
          illegal: [
            /[;<']/,
            /BEGIN/
          ]
        };
      }
      module.exports = graphql;
    }
  });

  // node_modules/highlight.js/lib/languages/groovy.js
  var require_groovy = __commonJS({
    "node_modules/highlight.js/lib/languages/groovy.js"(exports, module) {
      function variants(variants2, obj = {}) {
        obj.variants = variants2;
        return obj;
      }
      function groovy(hljs) {
        const regex = hljs.regex;
        const IDENT_RE = "[A-Za-z0-9_$]+";
        const COMMENT = variants([
          hljs.C_LINE_COMMENT_MODE,
          hljs.C_BLOCK_COMMENT_MODE,
          hljs.COMMENT(
            "/\\*\\*",
            "\\*/",
            {
              relevance: 0,
              contains: [
                {
                  // eat up @'s in emails to prevent them to be recognized as doctags
                  begin: /\w+@/,
                  relevance: 0
                },
                {
                  className: "doctag",
                  begin: "@[A-Za-z]+"
                }
              ]
            }
          )
        ]);
        const REGEXP = {
          className: "regexp",
          begin: /~?\/[^\/\n]+\//,
          contains: [hljs.BACKSLASH_ESCAPE]
        };
        const NUMBER = variants([
          hljs.BINARY_NUMBER_MODE,
          hljs.C_NUMBER_MODE
        ]);
        const STRING = variants(
          [
            {
              begin: /"""/,
              end: /"""/
            },
            {
              begin: /'''/,
              end: /'''/
            },
            {
              begin: "\\$/",
              end: "/\\$",
              relevance: 10
            },
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE
          ],
          { className: "string" }
        );
        const CLASS_DEFINITION = {
          match: [
            /(class|interface|trait|enum|record|extends|implements)/,
            /\s+/,
            hljs.UNDERSCORE_IDENT_RE
          ],
          scope: {
            1: "keyword",
            3: "title.class"
          }
        };
        const TYPES = [
          "byte",
          "short",
          "char",
          "int",
          "long",
          "boolean",
          "float",
          "double",
          "void"
        ];
        const KEYWORDS = [
          // groovy specific keywords
          "def",
          "as",
          "in",
          "assert",
          "trait",
          // common keywords with Java
          "abstract",
          "static",
          "volatile",
          "transient",
          "public",
          "private",
          "protected",
          "synchronized",
          "final",
          "class",
          "interface",
          "enum",
          "if",
          "else",
          "for",
          "while",
          "switch",
          "case",
          "break",
          "default",
          "continue",
          "throw",
          "throws",
          "try",
          "catch",
          "finally",
          "implements",
          "extends",
          "new",
          "import",
          "package",
          "return",
          "instanceof",
          "var"
        ];
        return {
          name: "Groovy",
          keywords: {
            "variable.language": "this super",
            literal: "true false null",
            type: TYPES,
            keyword: KEYWORDS
          },
          contains: [
            hljs.SHEBANG({
              binary: "groovy",
              relevance: 10
            }),
            COMMENT,
            STRING,
            REGEXP,
            NUMBER,
            CLASS_DEFINITION,
            {
              className: "meta",
              begin: "@[A-Za-z]+",
              relevance: 0
            },
            {
              // highlight map keys and named parameters as attrs
              className: "attr",
              begin: IDENT_RE + "[ 	]*:",
              relevance: 0
            },
            {
              // catch middle element of the ternary operator
              // to avoid highlight it as a label, named parameter, or map key
              begin: /\?/,
              end: /:/,
              relevance: 0,
              contains: [
                COMMENT,
                STRING,
                REGEXP,
                NUMBER,
                "self"
              ]
            },
            {
              // highlight labeled statements
              className: "symbol",
              begin: "^[ 	]*" + regex.lookahead(IDENT_RE + ":"),
              excludeBegin: true,
              end: IDENT_RE + ":",
              relevance: 0
            }
          ],
          illegal: /#|<\//
        };
      }
      module.exports = groovy;
    }
  });

  // node_modules/highlight.js/lib/languages/haml.js
  var require_haml = __commonJS({
    "node_modules/highlight.js/lib/languages/haml.js"(exports, module) {
      function haml(hljs) {
        return {
          name: "HAML",
          case_insensitive: true,
          contains: [
            {
              className: "meta",
              begin: "^!!!( (5|1\\.1|Strict|Frameset|Basic|Mobile|RDFa|XML\\b.*))?$",
              relevance: 10
            },
            // FIXME these comments should be allowed to span indented lines
            hljs.COMMENT(
              "^\\s*(!=#|=#|-#|/).*$",
              null,
              { relevance: 0 }
            ),
            {
              begin: "^\\s*(-|=|!=)(?!#)",
              end: /$/,
              subLanguage: "ruby",
              excludeBegin: true,
              excludeEnd: true
            },
            {
              className: "tag",
              begin: "^\\s*%",
              contains: [
                {
                  className: "selector-tag",
                  begin: "\\w+"
                },
                {
                  className: "selector-id",
                  begin: "#[\\w-]+"
                },
                {
                  className: "selector-class",
                  begin: "\\.[\\w-]+"
                },
                {
                  begin: /\{\s*/,
                  end: /\s*\}/,
                  contains: [
                    {
                      begin: ":\\w+\\s*=>",
                      end: ",\\s+",
                      returnBegin: true,
                      endsWithParent: true,
                      contains: [
                        {
                          className: "attr",
                          begin: ":\\w+"
                        },
                        hljs.APOS_STRING_MODE,
                        hljs.QUOTE_STRING_MODE,
                        {
                          begin: "\\w+",
                          relevance: 0
                        }
                      ]
                    }
                  ]
                },
                {
                  begin: "\\(\\s*",
                  end: "\\s*\\)",
                  excludeEnd: true,
                  contains: [
                    {
                      begin: "\\w+\\s*=",
                      end: "\\s+",
                      returnBegin: true,
                      endsWithParent: true,
                      contains: [
                        {
                          className: "attr",
                          begin: "\\w+",
                          relevance: 0
                        },
                        hljs.APOS_STRING_MODE,
                        hljs.QUOTE_STRING_MODE,
                        {
                          begin: "\\w+",
                          relevance: 0
                        }
                      ]
                    }
                  ]
                }
              ]
            },
            { begin: "^\\s*[=~]\\s*" },
            {
              begin: /#\{/,
              end: /\}/,
              subLanguage: "ruby",
              excludeBegin: true,
              excludeEnd: true
            }
          ]
        };
      }
      module.exports = haml;
    }
  });

  // node_modules/highlight.js/lib/languages/handlebars.js
  var require_handlebars = __commonJS({
    "node_modules/highlight.js/lib/languages/handlebars.js"(exports, module) {
      function handlebars(hljs) {
        const regex = hljs.regex;
        const BUILT_INS = {
          $pattern: /[\w.\/]+/,
          built_in: [
            "action",
            "bindattr",
            "collection",
            "component",
            "concat",
            "debugger",
            "each",
            "each-in",
            "get",
            "hash",
            "if",
            "in",
            "input",
            "link-to",
            "loc",
            "log",
            "lookup",
            "mut",
            "outlet",
            "partial",
            "query-params",
            "render",
            "template",
            "textarea",
            "unbound",
            "unless",
            "view",
            "with",
            "yield"
          ]
        };
        const LITERALS = {
          $pattern: /[\w.\/]+/,
          literal: [
            "true",
            "false",
            "undefined",
            "null"
          ]
        };
        const DOUBLE_QUOTED_ID_REGEX = /""|"[^"]+"/;
        const SINGLE_QUOTED_ID_REGEX = /''|'[^']+'/;
        const BRACKET_QUOTED_ID_REGEX = /\[\]|\[[^\]]+\]/;
        const PLAIN_ID_REGEX = /[^\s!"#%&'()*+,.\/;<=>@\[\\\]^`{|}~]+/;
        const PATH_DELIMITER_REGEX = /(\.|\/)/;
        const ANY_ID = regex.either(
          DOUBLE_QUOTED_ID_REGEX,
          SINGLE_QUOTED_ID_REGEX,
          BRACKET_QUOTED_ID_REGEX,
          PLAIN_ID_REGEX
        );
        const IDENTIFIER_REGEX = regex.concat(
          regex.optional(/\.|\.\/|\//),
          // relative or absolute path
          ANY_ID,
          regex.anyNumberOfTimes(regex.concat(
            PATH_DELIMITER_REGEX,
            ANY_ID
          ))
        );
        const HASH_PARAM_REGEX = regex.concat(
          "(",
          BRACKET_QUOTED_ID_REGEX,
          "|",
          PLAIN_ID_REGEX,
          ")(?==)"
        );
        const HELPER_NAME_OR_PATH_EXPRESSION = { begin: IDENTIFIER_REGEX };
        const HELPER_PARAMETER = hljs.inherit(HELPER_NAME_OR_PATH_EXPRESSION, { keywords: LITERALS });
        const SUB_EXPRESSION = {
          begin: /\(/,
          end: /\)/
          // the "contains" is added below when all necessary sub-modes are defined
        };
        const HASH = {
          // fka "attribute-assignment", parameters of the form 'key=value'
          className: "attr",
          begin: HASH_PARAM_REGEX,
          relevance: 0,
          starts: {
            begin: /=/,
            end: /=/,
            starts: { contains: [
              hljs.NUMBER_MODE,
              hljs.QUOTE_STRING_MODE,
              hljs.APOS_STRING_MODE,
              HELPER_PARAMETER,
              SUB_EXPRESSION
            ] }
          }
        };
        const BLOCK_PARAMS = {
          // parameters of the form '{{#with x as | y |}}...{{/with}}'
          begin: /as\s+\|/,
          keywords: { keyword: "as" },
          end: /\|/,
          contains: [
            {
              // define sub-mode in order to prevent highlighting of block-parameter named "as"
              begin: /\w+/
            }
          ]
        };
        const HELPER_PARAMETERS = {
          contains: [
            hljs.NUMBER_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.APOS_STRING_MODE,
            BLOCK_PARAMS,
            HASH,
            HELPER_PARAMETER,
            SUB_EXPRESSION
          ],
          returnEnd: true
          // the property "end" is defined through inheritance when the mode is used. If depends
          // on the surrounding mode, but "endsWithParent" does not work here (i.e. it includes the
          // end-token of the surrounding mode)
        };
        const SUB_EXPRESSION_CONTENTS = hljs.inherit(HELPER_NAME_OR_PATH_EXPRESSION, {
          className: "name",
          keywords: BUILT_INS,
          starts: hljs.inherit(HELPER_PARAMETERS, { end: /\)/ })
        });
        SUB_EXPRESSION.contains = [SUB_EXPRESSION_CONTENTS];
        const OPENING_BLOCK_MUSTACHE_CONTENTS = hljs.inherit(HELPER_NAME_OR_PATH_EXPRESSION, {
          keywords: BUILT_INS,
          className: "name",
          starts: hljs.inherit(HELPER_PARAMETERS, { end: /\}\}/ })
        });
        const CLOSING_BLOCK_MUSTACHE_CONTENTS = hljs.inherit(HELPER_NAME_OR_PATH_EXPRESSION, {
          keywords: BUILT_INS,
          className: "name"
        });
        const BASIC_MUSTACHE_CONTENTS = hljs.inherit(HELPER_NAME_OR_PATH_EXPRESSION, {
          className: "name",
          keywords: BUILT_INS,
          starts: hljs.inherit(HELPER_PARAMETERS, { end: /\}\}/ })
        });
        const ESCAPE_MUSTACHE_WITH_PRECEEDING_BACKSLASH = {
          begin: /\\\{\{/,
          skip: true
        };
        const PREVENT_ESCAPE_WITH_ANOTHER_PRECEEDING_BACKSLASH = {
          begin: /\\\\(?=\{\{)/,
          skip: true
        };
        return {
          name: "Handlebars",
          aliases: [
            "hbs",
            "html.hbs",
            "html.handlebars",
            "htmlbars"
          ],
          case_insensitive: true,
          subLanguage: "xml",
          contains: [
            ESCAPE_MUSTACHE_WITH_PRECEEDING_BACKSLASH,
            PREVENT_ESCAPE_WITH_ANOTHER_PRECEEDING_BACKSLASH,
            hljs.COMMENT(/\{\{!--/, /--\}\}/),
            hljs.COMMENT(/\{\{!/, /\}\}/),
            {
              // open raw block "{{{{raw}}}} content not evaluated {{{{/raw}}}}"
              className: "template-tag",
              begin: /\{\{\{\{(?!\/)/,
              end: /\}\}\}\}/,
              contains: [OPENING_BLOCK_MUSTACHE_CONTENTS],
              starts: {
                end: /\{\{\{\{\//,
                returnEnd: true,
                subLanguage: "xml"
              }
            },
            {
              // close raw block
              className: "template-tag",
              begin: /\{\{\{\{\//,
              end: /\}\}\}\}/,
              contains: [CLOSING_BLOCK_MUSTACHE_CONTENTS]
            },
            {
              // open block statement
              className: "template-tag",
              begin: /\{\{#/,
              end: /\}\}/,
              contains: [OPENING_BLOCK_MUSTACHE_CONTENTS]
            },
            {
              className: "template-tag",
              begin: /\{\{(?=else\}\})/,
              end: /\}\}/,
              keywords: "else"
            },
            {
              className: "template-tag",
              begin: /\{\{(?=else if)/,
              end: /\}\}/,
              keywords: "else if"
            },
            {
              // closing block statement
              className: "template-tag",
              begin: /\{\{\//,
              end: /\}\}/,
              contains: [CLOSING_BLOCK_MUSTACHE_CONTENTS]
            },
            {
              // template variable or helper-call that is NOT html-escaped
              className: "template-variable",
              begin: /\{\{\{/,
              end: /\}\}\}/,
              contains: [BASIC_MUSTACHE_CONTENTS]
            },
            {
              // template variable or helper-call that is html-escaped
              className: "template-variable",
              begin: /\{\{/,
              end: /\}\}/,
              contains: [BASIC_MUSTACHE_CONTENTS]
            }
          ]
        };
      }
      module.exports = handlebars;
    }
  });

  // node_modules/highlight.js/lib/languages/haskell.js
  var require_haskell = __commonJS({
    "node_modules/highlight.js/lib/languages/haskell.js"(exports, module) {
      function haskell(hljs) {
        const decimalDigits = "([0-9]_*)+";
        const hexDigits = "([0-9a-fA-F]_*)+";
        const binaryDigits = "([01]_*)+";
        const octalDigits = "([0-7]_*)+";
        const ascSymbol = "[!#$%&*+.\\/<=>?@\\\\^~-]";
        const uniSymbol = "(\\p{S}|\\p{P})";
        const special = "[(),;\\[\\]`|{}]";
        const symbol = `(${ascSymbol}|(?!(${special}|[_:"']))${uniSymbol})`;
        const COMMENT = { variants: [
          // Double dash forms a valid comment only if it's not part of legal lexeme.
          // See: Haskell 98 report: https://www.haskell.org/onlinereport/lexemes.html
          //
          // The commented code does the job, but we can't use negative lookbehind,
          // due to poor support by Safari browser.
          // > hljs.COMMENT(`(?<!${symbol})--+(?!${symbol})`, '$'),
          // So instead, we'll add a no-markup rule before the COMMENT rule in the rules list
          // to match the problematic infix operators that contain double dash.
          hljs.COMMENT("--+", "$"),
          hljs.COMMENT(
            /\{-/,
            /-\}/,
            { contains: ["self"] }
          )
        ] };
        const PRAGMA = {
          className: "meta",
          begin: /\{-#/,
          end: /#-\}/
        };
        const PREPROCESSOR = {
          className: "meta",
          begin: "^#",
          end: "$"
        };
        const CONSTRUCTOR = {
          className: "type",
          begin: "\\b[A-Z][\\w']*",
          // TODO: other constructors (build-in, infix).
          relevance: 0
        };
        const LIST = {
          begin: "\\(",
          end: "\\)",
          illegal: '"',
          contains: [
            PRAGMA,
            PREPROCESSOR,
            {
              className: "type",
              begin: "\\b[A-Z][\\w]*(\\((\\.\\.|,|\\w+)\\))?"
            },
            hljs.inherit(hljs.TITLE_MODE, { begin: "[_a-z][\\w']*" }),
            COMMENT
          ]
        };
        const RECORD = {
          begin: /\{/,
          end: /\}/,
          contains: LIST.contains
        };
        const NUMBER = {
          className: "number",
          relevance: 0,
          variants: [
            // decimal floating-point-literal (subsumes decimal-literal)
            { match: `\\b(${decimalDigits})(\\.(${decimalDigits}))?([eE][+-]?(${decimalDigits}))?\\b` },
            // hexadecimal floating-point-literal (subsumes hexadecimal-literal)
            { match: `\\b0[xX]_*(${hexDigits})(\\.(${hexDigits}))?([pP][+-]?(${decimalDigits}))?\\b` },
            // octal-literal
            { match: `\\b0[oO](${octalDigits})\\b` },
            // binary-literal
            { match: `\\b0[bB](${binaryDigits})\\b` }
          ]
        };
        return {
          name: "Haskell",
          aliases: ["hs"],
          keywords: "let in if then else case of where do module import hiding qualified type data newtype deriving class instance as default infix infixl infixr foreign export ccall stdcall cplusplus jvm dotnet safe unsafe family forall mdo proc rec",
          unicodeRegex: true,
          contains: [
            // Top-level constructions.
            {
              beginKeywords: "module",
              end: "where",
              keywords: "module where",
              contains: [
                LIST,
                COMMENT
              ],
              illegal: "\\W\\.|;"
            },
            {
              begin: "\\bimport\\b",
              end: "$",
              keywords: "import qualified as hiding",
              contains: [
                LIST,
                COMMENT
              ],
              illegal: "\\W\\.|;"
            },
            {
              className: "class",
              begin: "^(\\s*)?(class|instance)\\b",
              end: "where",
              keywords: "class family instance where",
              contains: [
                CONSTRUCTOR,
                LIST,
                COMMENT
              ]
            },
            {
              className: "class",
              begin: "\\b(data|(new)?type)\\b",
              end: "$",
              keywords: "data family type newtype deriving",
              contains: [
                PRAGMA,
                CONSTRUCTOR,
                LIST,
                RECORD,
                COMMENT
              ]
            },
            {
              beginKeywords: "default",
              end: "$",
              contains: [
                CONSTRUCTOR,
                LIST,
                COMMENT
              ]
            },
            {
              beginKeywords: "infix infixl infixr",
              end: "$",
              contains: [
                hljs.C_NUMBER_MODE,
                COMMENT
              ]
            },
            {
              begin: "\\bforeign\\b",
              end: "$",
              keywords: "foreign import export ccall stdcall cplusplus jvm dotnet safe unsafe",
              contains: [
                CONSTRUCTOR,
                hljs.QUOTE_STRING_MODE,
                COMMENT
              ]
            },
            {
              className: "meta",
              begin: "#!\\/usr\\/bin\\/env runhaskell",
              end: "$"
            },
            // "Whitespaces".
            PRAGMA,
            PREPROCESSOR,
            // Literals and names.
            // Single characters.
            {
              scope: "string",
              begin: /'(?=\\?.')/,
              end: /'/,
              contains: [
                {
                  scope: "char.escape",
                  match: /\\./
                }
              ]
            },
            hljs.QUOTE_STRING_MODE,
            NUMBER,
            CONSTRUCTOR,
            hljs.inherit(hljs.TITLE_MODE, { begin: "^[_a-z][\\w']*" }),
            // No markup, prevents infix operators from being recognized as comments.
            { begin: `(?!-)${symbol}--+|--+(?!-)${symbol}` },
            COMMENT,
            {
              // No markup, relevance booster
              begin: "->|<-"
            }
          ]
        };
      }
      module.exports = haskell;
    }
  });

  // node_modules/highlight.js/lib/languages/haxe.js
  var require_haxe = __commonJS({
    "node_modules/highlight.js/lib/languages/haxe.js"(exports, module) {
      function haxe(hljs) {
        const IDENT_RE = "[a-zA-Z_$][a-zA-Z0-9_$]*";
        const HAXE_NUMBER_RE = /(-?)(\b0[xX][a-fA-F0-9_]+|(\b\d+(\.[\d_]*)?|\.[\d_]+)(([eE][-+]?\d+)|i32|u32|i64|f64)?)/;
        const HAXE_BASIC_TYPES = "Int Float String Bool Dynamic Void Array ";
        return {
          name: "Haxe",
          aliases: ["hx"],
          keywords: {
            keyword: "abstract break case cast catch continue default do dynamic else enum extern final for function here if import in inline is macro never new override package private get set public return static super switch this throw trace try typedef untyped using var while " + HAXE_BASIC_TYPES,
            built_in: "trace this",
            literal: "true false null _"
          },
          contains: [
            {
              className: "string",
              // interpolate-able strings
              begin: "'",
              end: "'",
              contains: [
                hljs.BACKSLASH_ESCAPE,
                {
                  className: "subst",
                  // interpolation
                  begin: /\$\{/,
                  end: /\}/
                },
                {
                  className: "subst",
                  // interpolation
                  begin: /\$/,
                  end: /\W\}/
                }
              ]
            },
            hljs.QUOTE_STRING_MODE,
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            {
              className: "number",
              begin: HAXE_NUMBER_RE,
              relevance: 0
            },
            {
              className: "variable",
              begin: "\\$" + IDENT_RE
            },
            {
              className: "meta",
              // compiler meta
              begin: /@:?/,
              end: /\(|$/,
              excludeEnd: true
            },
            {
              className: "meta",
              // compiler conditionals
              begin: "#",
              end: "$",
              keywords: { keyword: "if else elseif end error" }
            },
            {
              className: "type",
              // function types
              begin: /:[ \t]*/,
              end: /[^A-Za-z0-9_ \t\->]/,
              excludeBegin: true,
              excludeEnd: true,
              relevance: 0
            },
            {
              className: "type",
              // types
              begin: /:[ \t]*/,
              end: /\W/,
              excludeBegin: true,
              excludeEnd: true
            },
            {
              className: "type",
              // instantiation
              beginKeywords: "new",
              end: /\W/,
              excludeBegin: true,
              excludeEnd: true
            },
            {
              className: "title.class",
              // enums
              beginKeywords: "enum",
              end: /\{/,
              contains: [hljs.TITLE_MODE]
            },
            {
              className: "title.class",
              // abstracts
              begin: "\\babstract\\b(?=\\s*" + hljs.IDENT_RE + "\\s*\\()",
              end: /[\{$]/,
              contains: [
                {
                  className: "type",
                  begin: /\(/,
                  end: /\)/,
                  excludeBegin: true,
                  excludeEnd: true
                },
                {
                  className: "type",
                  begin: /from +/,
                  end: /\W/,
                  excludeBegin: true,
                  excludeEnd: true
                },
                {
                  className: "type",
                  begin: /to +/,
                  end: /\W/,
                  excludeBegin: true,
                  excludeEnd: true
                },
                hljs.TITLE_MODE
              ],
              keywords: { keyword: "abstract from to" }
            },
            {
              className: "title.class",
              // classes
              begin: /\b(class|interface) +/,
              end: /[\{$]/,
              excludeEnd: true,
              keywords: "class interface",
              contains: [
                {
                  className: "keyword",
                  begin: /\b(extends|implements) +/,
                  keywords: "extends implements",
                  contains: [
                    {
                      className: "type",
                      begin: hljs.IDENT_RE,
                      relevance: 0
                    }
                  ]
                },
                hljs.TITLE_MODE
              ]
            },
            {
              className: "title.function",
              beginKeywords: "function",
              end: /\(/,
              excludeEnd: true,
              illegal: /\S/,
              contains: [hljs.TITLE_MODE]
            }
          ],
          illegal: /<\//
        };
      }
      module.exports = haxe;
    }
  });

  // node_modules/highlight.js/lib/languages/hsp.js
  var require_hsp = __commonJS({
    "node_modules/highlight.js/lib/languages/hsp.js"(exports, module) {
      function hsp(hljs) {
        return {
          name: "HSP",
          case_insensitive: true,
          keywords: {
            $pattern: /[\w._]+/,
            keyword: "goto gosub return break repeat loop continue wait await dim sdim foreach dimtype dup dupptr end stop newmod delmod mref run exgoto on mcall assert logmes newlab resume yield onexit onerror onkey onclick oncmd exist delete mkdir chdir dirlist bload bsave bcopy memfile if else poke wpoke lpoke getstr chdpm memexpand memcpy memset notesel noteadd notedel noteload notesave randomize noteunsel noteget split strrep setease button chgdisp exec dialog mmload mmplay mmstop mci pset pget syscolor mes print title pos circle cls font sysfont objsize picload color palcolor palette redraw width gsel gcopy gzoom gmode bmpsave hsvcolor getkey listbox chkbox combox input mesbox buffer screen bgscr mouse objsel groll line clrobj boxf objprm objmode stick grect grotate gsquare gradf objimage objskip objenable celload celdiv celput newcom querycom delcom cnvstow comres axobj winobj sendmsg comevent comevarg sarrayconv callfunc cnvwtos comevdisp libptr system hspstat hspver stat cnt err strsize looplev sublev iparam wparam lparam refstr refdval int rnd strlen length length2 length3 length4 vartype gettime peek wpeek lpeek varptr varuse noteinfo instr abs limit getease str strmid strf getpath strtrim sin cos tan atan sqrt double absf expf logf limitf powf geteasef mousex mousey mousew hwnd hinstance hdc ginfo objinfo dirinfo sysinfo thismod __hspver__ __hsp30__ __date__ __time__ __line__ __file__ _debug __hspdef__ and or xor not screen_normal screen_palette screen_hide screen_fixedsize screen_tool screen_frame gmode_gdi gmode_mem gmode_rgb0 gmode_alpha gmode_rgb0alpha gmode_add gmode_sub gmode_pixela ginfo_mx ginfo_my ginfo_act ginfo_sel ginfo_wx1 ginfo_wy1 ginfo_wx2 ginfo_wy2 ginfo_vx ginfo_vy ginfo_sizex ginfo_sizey ginfo_winx ginfo_winy ginfo_mesx ginfo_mesy ginfo_r ginfo_g ginfo_b ginfo_paluse ginfo_dispx ginfo_dispy ginfo_cx ginfo_cy ginfo_intid ginfo_newid ginfo_sx ginfo_sy objinfo_mode objinfo_bmscr objinfo_hwnd notemax notesize dir_cur dir_exe dir_win dir_sys dir_cmdline dir_desktop dir_mydoc dir_tv font_normal font_bold font_italic font_underline font_strikeout font_antialias objmode_normal objmode_guifont objmode_usefont gsquare_grad msgothic msmincho do until while wend for next _break _continue switch case default swbreak swend ddim ldim alloc m_pi rad2deg deg2rad ease_linear ease_quad_in ease_quad_out ease_quad_inout ease_cubic_in ease_cubic_out ease_cubic_inout ease_quartic_in ease_quartic_out ease_quartic_inout ease_bounce_in ease_bounce_out ease_bounce_inout ease_shake_in ease_shake_out ease_shake_inout ease_loop"
          },
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.APOS_STRING_MODE,
            {
              // multi-line string
              className: "string",
              begin: /\{"/,
              end: /"\}/,
              contains: [hljs.BACKSLASH_ESCAPE]
            },
            hljs.COMMENT(";", "$", { relevance: 0 }),
            {
              // pre-processor
              className: "meta",
              begin: "#",
              end: "$",
              keywords: { keyword: "addion cfunc cmd cmpopt comfunc const defcfunc deffunc define else endif enum epack func global if ifdef ifndef include modcfunc modfunc modinit modterm module pack packopt regcmd runtime undef usecom uselib" },
              contains: [
                hljs.inherit(hljs.QUOTE_STRING_MODE, { className: "string" }),
                hljs.NUMBER_MODE,
                hljs.C_NUMBER_MODE,
                hljs.C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE
              ]
            },
            {
              // label
              className: "symbol",
              begin: "^\\*(\\w+|@)"
            },
            hljs.NUMBER_MODE,
            hljs.C_NUMBER_MODE
          ]
        };
      }
      module.exports = hsp;
    }
  });

  // node_modules/highlight.js/lib/languages/http.js
  var require_http = __commonJS({
    "node_modules/highlight.js/lib/languages/http.js"(exports, module) {
      function http(hljs) {
        const regex = hljs.regex;
        const VERSION = "HTTP/([32]|1\\.[01])";
        const HEADER_NAME = /[A-Za-z][A-Za-z0-9-]*/;
        const HEADER = {
          className: "attribute",
          begin: regex.concat("^", HEADER_NAME, "(?=\\:\\s)"),
          starts: { contains: [
            {
              className: "punctuation",
              begin: /: /,
              relevance: 0,
              starts: {
                end: "$",
                relevance: 0
              }
            }
          ] }
        };
        const HEADERS_AND_BODY = [
          HEADER,
          {
            begin: "\\n\\n",
            starts: {
              subLanguage: [],
              endsWithParent: true
            }
          }
        ];
        return {
          name: "HTTP",
          aliases: ["https"],
          illegal: /\S/,
          contains: [
            // response
            {
              begin: "^(?=" + VERSION + " \\d{3})",
              end: /$/,
              contains: [
                {
                  className: "meta",
                  begin: VERSION
                },
                {
                  className: "number",
                  begin: "\\b\\d{3}\\b"
                }
              ],
              starts: {
                end: /\b\B/,
                illegal: /\S/,
                contains: HEADERS_AND_BODY
              }
            },
            // request
            {
              begin: "(?=^[A-Z]+ (.*?) " + VERSION + "$)",
              end: /$/,
              contains: [
                {
                  className: "string",
                  begin: " ",
                  end: " ",
                  excludeBegin: true,
                  excludeEnd: true
                },
                {
                  className: "meta",
                  begin: VERSION
                },
                {
                  className: "keyword",
                  begin: "[A-Z]+"
                }
              ],
              starts: {
                end: /\b\B/,
                illegal: /\S/,
                contains: HEADERS_AND_BODY
              }
            },
            // to allow headers to work even without a preamble
            hljs.inherit(HEADER, { relevance: 0 })
          ]
        };
      }
      module.exports = http;
    }
  });

  // node_modules/highlight.js/lib/languages/hy.js
  var require_hy = __commonJS({
    "node_modules/highlight.js/lib/languages/hy.js"(exports, module) {
      function hy(hljs) {
        const SYMBOLSTART = "a-zA-Z_\\-!.?+*=<>&#'";
        const SYMBOL_RE = "[" + SYMBOLSTART + "][" + SYMBOLSTART + "0-9/;:]*";
        const keywords = {
          $pattern: SYMBOL_RE,
          built_in: (
            // keywords
            "!= % %= & &= * ** **= *= *map + += , --build-class-- --import-- -= . / // //= /= < << <<= <= = > >= >> >>= @ @= ^ ^= abs accumulate all and any ap-compose ap-dotimes ap-each ap-each-while ap-filter ap-first ap-if ap-last ap-map ap-map-when ap-pipe ap-reduce ap-reject apply as-> ascii assert assoc bin break butlast callable calling-module-name car case cdr chain chr coll? combinations compile compress cond cons cons? continue count curry cut cycle dec def default-method defclass defmacro defmacro-alias defmacro/g! defmain defmethod defmulti defn defn-alias defnc defnr defreader defseq del delattr delete-route dict-comp dir disassemble dispatch-reader-macro distinct divmod do doto drop drop-last drop-while empty? end-sequence eval eval-and-compile eval-when-compile even? every? except exec filter first flatten float? fn fnc fnr for for* format fraction genexpr gensym get getattr global globals group-by hasattr hash hex id identity if if* if-not if-python2 import in inc input instance? integer integer-char? integer? interleave interpose is is-coll is-cons is-empty is-even is-every is-float is-instance is-integer is-integer-char is-iterable is-iterator is-keyword is-neg is-none is-not is-numeric is-odd is-pos is-string is-symbol is-zero isinstance islice issubclass iter iterable? iterate iterator? keyword keyword? lambda last len let lif lif-not list* list-comp locals loop macro-error macroexpand macroexpand-1 macroexpand-all map max merge-with method-decorator min multi-decorator multicombinations name neg? next none? nonlocal not not-in not? nth numeric? oct odd? open or ord partition permutations pos? post-route postwalk pow prewalk print product profile/calls profile/cpu put-route quasiquote quote raise range read read-str recursive-replace reduce remove repeat repeatedly repr require rest round route route-with-methods rwm second seq set-comp setattr setv some sorted string string? sum switch symbol? take take-nth take-while tee try unless unquote unquote-splicing vars walk when while with with* with-decorator with-gensyms xi xor yield yield-from zero? zip zip-longest | |= ~"
          )
        };
        const SIMPLE_NUMBER_RE = "[-+]?\\d+(\\.\\d+)?";
        const SYMBOL = {
          begin: SYMBOL_RE,
          relevance: 0
        };
        const NUMBER = {
          className: "number",
          begin: SIMPLE_NUMBER_RE,
          relevance: 0
        };
        const STRING = hljs.inherit(hljs.QUOTE_STRING_MODE, { illegal: null });
        const COMMENT = hljs.COMMENT(
          ";",
          "$",
          { relevance: 0 }
        );
        const LITERAL = {
          className: "literal",
          begin: /\b([Tt]rue|[Ff]alse|nil|None)\b/
        };
        const COLLECTION = {
          begin: "[\\[\\{]",
          end: "[\\]\\}]",
          relevance: 0
        };
        const HINT = {
          className: "comment",
          begin: "\\^" + SYMBOL_RE
        };
        const HINT_COL = hljs.COMMENT("\\^\\{", "\\}");
        const KEY = {
          className: "symbol",
          begin: "[:]{1,2}" + SYMBOL_RE
        };
        const LIST = {
          begin: "\\(",
          end: "\\)"
        };
        const BODY = {
          endsWithParent: true,
          relevance: 0
        };
        const NAME = {
          className: "name",
          relevance: 0,
          keywords,
          begin: SYMBOL_RE,
          starts: BODY
        };
        const DEFAULT_CONTAINS = [
          LIST,
          STRING,
          HINT,
          HINT_COL,
          COMMENT,
          KEY,
          COLLECTION,
          NUMBER,
          LITERAL,
          SYMBOL
        ];
        LIST.contains = [
          hljs.COMMENT("comment", ""),
          NAME,
          BODY
        ];
        BODY.contains = DEFAULT_CONTAINS;
        COLLECTION.contains = DEFAULT_CONTAINS;
        return {
          name: "Hy",
          aliases: ["hylang"],
          illegal: /\S/,
          contains: [
            hljs.SHEBANG(),
            LIST,
            STRING,
            HINT,
            HINT_COL,
            COMMENT,
            KEY,
            COLLECTION,
            NUMBER,
            LITERAL
          ]
        };
      }
      module.exports = hy;
    }
  });

  // node_modules/highlight.js/lib/languages/inform7.js
  var require_inform7 = __commonJS({
    "node_modules/highlight.js/lib/languages/inform7.js"(exports, module) {
      function inform7(hljs) {
        const START_BRACKET = "\\[";
        const END_BRACKET = "\\]";
        return {
          name: "Inform 7",
          aliases: ["i7"],
          case_insensitive: true,
          keywords: {
            // Some keywords more or less unique to I7, for relevance.
            keyword: (
              // kind:
              "thing room person man woman animal container supporter backdrop door scenery open closed locked inside gender is are say understand kind of rule"
            )
          },
          contains: [
            {
              className: "string",
              begin: '"',
              end: '"',
              relevance: 0,
              contains: [
                {
                  className: "subst",
                  begin: START_BRACKET,
                  end: END_BRACKET
                }
              ]
            },
            {
              className: "section",
              begin: /^(Volume|Book|Part|Chapter|Section|Table)\b/,
              end: "$"
            },
            {
              // Rule definition
              // This is here for relevance.
              begin: /^(Check|Carry out|Report|Instead of|To|Rule|When|Before|After)\b/,
              end: ":",
              contains: [
                {
                  // Rule name
                  begin: "\\(This",
                  end: "\\)"
                }
              ]
            },
            {
              className: "comment",
              begin: START_BRACKET,
              end: END_BRACKET,
              contains: ["self"]
            }
          ]
        };
      }
      module.exports = inform7;
    }
  });

  // node_modules/highlight.js/lib/languages/ini.js
  var require_ini = __commonJS({
    "node_modules/highlight.js/lib/languages/ini.js"(exports, module) {
      function ini(hljs) {
        const regex = hljs.regex;
        const NUMBERS = {
          className: "number",
          relevance: 0,
          variants: [
            { begin: /([+-]+)?[\d]+_[\d_]+/ },
            { begin: hljs.NUMBER_RE }
          ]
        };
        const COMMENTS = hljs.COMMENT();
        COMMENTS.variants = [
          {
            begin: /;/,
            end: /$/
          },
          {
            begin: /#/,
            end: /$/
          }
        ];
        const VARIABLES = {
          className: "variable",
          variants: [
            { begin: /\$[\w\d"][\w\d_]*/ },
            { begin: /\$\{(.*?)\}/ }
          ]
        };
        const LITERALS = {
          className: "literal",
          begin: /\bon|off|true|false|yes|no\b/
        };
        const STRINGS = {
          className: "string",
          contains: [hljs.BACKSLASH_ESCAPE],
          variants: [
            {
              begin: "'''",
              end: "'''",
              relevance: 10
            },
            {
              begin: '"""',
              end: '"""',
              relevance: 10
            },
            {
              begin: '"',
              end: '"'
            },
            {
              begin: "'",
              end: "'"
            }
          ]
        };
        const ARRAY = {
          begin: /\[/,
          end: /\]/,
          contains: [
            COMMENTS,
            LITERALS,
            VARIABLES,
            STRINGS,
            NUMBERS,
            "self"
          ],
          relevance: 0
        };
        const BARE_KEY = /[A-Za-z0-9_-]+/;
        const QUOTED_KEY_DOUBLE_QUOTE = /"(\\"|[^"])*"/;
        const QUOTED_KEY_SINGLE_QUOTE = /'[^']*'/;
        const ANY_KEY = regex.either(
          BARE_KEY,
          QUOTED_KEY_DOUBLE_QUOTE,
          QUOTED_KEY_SINGLE_QUOTE
        );
        const DOTTED_KEY = regex.concat(
          ANY_KEY,
          "(\\s*\\.\\s*",
          ANY_KEY,
          ")*",
          regex.lookahead(/\s*=\s*[^#\s]/)
        );
        return {
          name: "TOML, also INI",
          aliases: ["toml"],
          case_insensitive: true,
          illegal: /\S/,
          contains: [
            COMMENTS,
            {
              className: "section",
              begin: /\[+/,
              end: /\]+/
            },
            {
              begin: DOTTED_KEY,
              className: "attr",
              starts: {
                end: /$/,
                contains: [
                  COMMENTS,
                  ARRAY,
                  LITERALS,
                  VARIABLES,
                  STRINGS,
                  NUMBERS
                ]
              }
            }
          ]
        };
      }
      module.exports = ini;
    }
  });

  // node_modules/highlight.js/lib/languages/irpf90.js
  var require_irpf90 = __commonJS({
    "node_modules/highlight.js/lib/languages/irpf90.js"(exports, module) {
      function irpf90(hljs) {
        const regex = hljs.regex;
        const PARAMS = {
          className: "params",
          begin: "\\(",
          end: "\\)"
        };
        const OPTIONAL_NUMBER_SUFFIX = /(_[a-z_\d]+)?/;
        const OPTIONAL_NUMBER_EXP = /([de][+-]?\d+)?/;
        const NUMBER = {
          className: "number",
          variants: [
            { begin: regex.concat(/\b\d+/, /\.(\d*)/, OPTIONAL_NUMBER_EXP, OPTIONAL_NUMBER_SUFFIX) },
            { begin: regex.concat(/\b\d+/, OPTIONAL_NUMBER_EXP, OPTIONAL_NUMBER_SUFFIX) },
            { begin: regex.concat(/\.\d+/, OPTIONAL_NUMBER_EXP, OPTIONAL_NUMBER_SUFFIX) }
          ],
          relevance: 0
        };
        const F_KEYWORDS = {
          literal: ".False. .True.",
          keyword: "kind do while private call intrinsic where elsewhere type endtype endmodule endselect endinterface end enddo endif if forall endforall only contains default return stop then public subroutine|10 function program .and. .or. .not. .le. .eq. .ge. .gt. .lt. goto save else use module select case access blank direct exist file fmt form formatted iostat name named nextrec number opened rec recl sequential status unformatted unit continue format pause cycle exit c_null_char c_alert c_backspace c_form_feed flush wait decimal round iomsg synchronous nopass non_overridable pass protected volatile abstract extends import non_intrinsic value deferred generic final enumerator class associate bind enum c_int c_short c_long c_long_long c_signed_char c_size_t c_int8_t c_int16_t c_int32_t c_int64_t c_int_least8_t c_int_least16_t c_int_least32_t c_int_least64_t c_int_fast8_t c_int_fast16_t c_int_fast32_t c_int_fast64_t c_intmax_t C_intptr_t c_float c_double c_long_double c_float_complex c_double_complex c_long_double_complex c_bool c_char c_null_ptr c_null_funptr c_new_line c_carriage_return c_horizontal_tab c_vertical_tab iso_c_binding c_loc c_funloc c_associated  c_f_pointer c_ptr c_funptr iso_fortran_env character_storage_size error_unit file_storage_size input_unit iostat_end iostat_eor numeric_storage_size output_unit c_f_procpointer ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode newunit contiguous recursive pad position action delim readwrite eor advance nml interface procedure namelist include sequence elemental pure integer real character complex logical dimension allocatable|10 parameter external implicit|10 none double precision assign intent optional pointer target in out common equivalence data begin_provider &begin_provider end_provider begin_shell end_shell begin_template end_template subst assert touch soft_touch provide no_dep free irp_if irp_else irp_endif irp_write irp_read",
          built_in: "alog alog10 amax0 amax1 amin0 amin1 amod cabs ccos cexp clog csin csqrt dabs dacos dasin datan datan2 dcos dcosh ddim dexp dint dlog dlog10 dmax1 dmin1 dmod dnint dsign dsin dsinh dsqrt dtan dtanh float iabs idim idint idnint ifix isign max0 max1 min0 min1 sngl algama cdabs cdcos cdexp cdlog cdsin cdsqrt cqabs cqcos cqexp cqlog cqsin cqsqrt dcmplx dconjg derf derfc dfloat dgamma dimag dlgama iqint qabs qacos qasin qatan qatan2 qcmplx qconjg qcos qcosh qdim qerf qerfc qexp qgamma qimag qlgama qlog qlog10 qmax1 qmin1 qmod qnint qsign qsin qsinh qsqrt qtan qtanh abs acos aimag aint anint asin atan atan2 char cmplx conjg cos cosh exp ichar index int log log10 max min nint sign sin sinh sqrt tan tanh print write dim lge lgt lle llt mod nullify allocate deallocate adjustl adjustr all allocated any associated bit_size btest ceiling count cshift date_and_time digits dot_product eoshift epsilon exponent floor fraction huge iand ibclr ibits ibset ieor ior ishft ishftc lbound len_trim matmul maxexponent maxloc maxval merge minexponent minloc minval modulo mvbits nearest pack present product radix random_number random_seed range repeat reshape rrspacing scale scan selected_int_kind selected_real_kind set_exponent shape size spacing spread sum system_clock tiny transpose trim ubound unpack verify achar iachar transfer dble entry dprod cpu_time command_argument_count get_command get_command_argument get_environment_variable is_iostat_end ieee_arithmetic ieee_support_underflow_control ieee_get_underflow_mode ieee_set_underflow_mode is_iostat_eor move_alloc new_line selected_char_kind same_type_as extends_type_of acosh asinh atanh bessel_j0 bessel_j1 bessel_jn bessel_y0 bessel_y1 bessel_yn erf erfc erfc_scaled gamma log_gamma hypot norm2 atomic_define atomic_ref execute_command_line leadz trailz storage_size merge_bits bge bgt ble blt dshiftl dshiftr findloc iall iany iparity image_index lcobound ucobound maskl maskr num_images parity popcnt poppar shifta shiftl shiftr this_image IRP_ALIGN irp_here"
        };
        return {
          name: "IRPF90",
          case_insensitive: true,
          keywords: F_KEYWORDS,
          illegal: /\/\*/,
          contains: [
            hljs.inherit(hljs.APOS_STRING_MODE, {
              className: "string",
              relevance: 0
            }),
            hljs.inherit(hljs.QUOTE_STRING_MODE, {
              className: "string",
              relevance: 0
            }),
            {
              className: "function",
              beginKeywords: "subroutine function program",
              illegal: "[${=\\n]",
              contains: [
                hljs.UNDERSCORE_TITLE_MODE,
                PARAMS
              ]
            },
            hljs.COMMENT("!", "$", { relevance: 0 }),
            hljs.COMMENT("begin_doc", "end_doc", { relevance: 10 }),
            NUMBER
          ]
        };
      }
      module.exports = irpf90;
    }
  });

  // node_modules/highlight.js/lib/languages/isbl.js
  var require_isbl = __commonJS({
    "node_modules/highlight.js/lib/languages/isbl.js"(exports, module) {
      function isbl(hljs) {
        const UNDERSCORE_IDENT_RE = "[A-Za-z\u0410-\u042F\u0430-\u044F\u0451\u0401_!][A-Za-z\u0410-\u042F\u0430-\u044F\u0451\u0401_0-9]*";
        const FUNCTION_NAME_IDENT_RE = "[A-Za-z\u0410-\u042F\u0430-\u044F\u0451\u0401_][A-Za-z\u0410-\u042F\u0430-\u044F\u0451\u0401_0-9]*";
        const KEYWORD = "and \u0438 else \u0438\u043D\u0430\u0447\u0435 endexcept endfinally endforeach \u043A\u043E\u043D\u0435\u0446\u0432\u0441\u0435 endif \u043A\u043E\u043D\u0435\u0446\u0435\u0441\u043B\u0438 endwhile \u043A\u043E\u043D\u0435\u0446\u043F\u043E\u043A\u0430 except exitfor finally foreach \u0432\u0441\u0435 if \u0435\u0441\u043B\u0438 in \u0432 not \u043D\u0435 or \u0438\u043B\u0438 try while \u043F\u043E\u043A\u0430 ";
        const sysres_constants = "SYSRES_CONST_ACCES_RIGHT_TYPE_EDIT SYSRES_CONST_ACCES_RIGHT_TYPE_FULL SYSRES_CONST_ACCES_RIGHT_TYPE_VIEW SYSRES_CONST_ACCESS_MODE_REQUISITE_CODE SYSRES_CONST_ACCESS_NO_ACCESS_VIEW SYSRES_CONST_ACCESS_NO_ACCESS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_ADD_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_CHANGE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_DELETE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_EXECUTE_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_NO_ACCESS_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_RATIFY_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW SYSRES_CONST_ACCESS_RIGHTS_VIEW_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_CODE SYSRES_CONST_ACCESS_RIGHTS_VIEW_REQUISITE_YES_CODE SYSRES_CONST_ACCESS_TYPE_CHANGE SYSRES_CONST_ACCESS_TYPE_CHANGE_CODE SYSRES_CONST_ACCESS_TYPE_EXISTS SYSRES_CONST_ACCESS_TYPE_EXISTS_CODE SYSRES_CONST_ACCESS_TYPE_FULL SYSRES_CONST_ACCESS_TYPE_FULL_CODE SYSRES_CONST_ACCESS_TYPE_VIEW SYSRES_CONST_ACCESS_TYPE_VIEW_CODE SYSRES_CONST_ACTION_TYPE_ABORT SYSRES_CONST_ACTION_TYPE_ACCEPT SYSRES_CONST_ACTION_TYPE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ADD_ATTACHMENT SYSRES_CONST_ACTION_TYPE_CHANGE_CARD SYSRES_CONST_ACTION_TYPE_CHANGE_KIND SYSRES_CONST_ACTION_TYPE_CHANGE_STORAGE SYSRES_CONST_ACTION_TYPE_CONTINUE SYSRES_CONST_ACTION_TYPE_COPY SYSRES_CONST_ACTION_TYPE_CREATE SYSRES_CONST_ACTION_TYPE_CREATE_VERSION SYSRES_CONST_ACTION_TYPE_DELETE SYSRES_CONST_ACTION_TYPE_DELETE_ATTACHMENT SYSRES_CONST_ACTION_TYPE_DELETE_VERSION SYSRES_CONST_ACTION_TYPE_DISABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENABLE_DELEGATE_ACCESS_RIGHTS SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_CERTIFICATE_AND_PASSWORD SYSRES_CONST_ACTION_TYPE_ENCRYPTION_BY_PASSWORD SYSRES_CONST_ACTION_TYPE_EXPORT_WITH_LOCK SYSRES_CONST_ACTION_TYPE_EXPORT_WITHOUT_LOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITH_UNLOCK SYSRES_CONST_ACTION_TYPE_IMPORT_WITHOUT_UNLOCK SYSRES_CONST_ACTION_TYPE_LIFE_CYCLE_STAGE SYSRES_CONST_ACTION_TYPE_LOCK SYSRES_CONST_ACTION_TYPE_LOCK_FOR_SERVER SYSRES_CONST_ACTION_TYPE_LOCK_MODIFY SYSRES_CONST_ACTION_TYPE_MARK_AS_READED SYSRES_CONST_ACTION_TYPE_MARK_AS_UNREADED SYSRES_CONST_ACTION_TYPE_MODIFY SYSRES_CONST_ACTION_TYPE_MODIFY_CARD SYSRES_CONST_ACTION_TYPE_MOVE_TO_ARCHIVE SYSRES_CONST_ACTION_TYPE_OFF_ENCRYPTION SYSRES_CONST_ACTION_TYPE_PASSWORD_CHANGE SYSRES_CONST_ACTION_TYPE_PERFORM SYSRES_CONST_ACTION_TYPE_RECOVER_FROM_LOCAL_COPY SYSRES_CONST_ACTION_TYPE_RESTART SYSRES_CONST_ACTION_TYPE_RESTORE_FROM_ARCHIVE SYSRES_CONST_ACTION_TYPE_REVISION SYSRES_CONST_ACTION_TYPE_SEND_BY_MAIL SYSRES_CONST_ACTION_TYPE_SIGN SYSRES_CONST_ACTION_TYPE_START SYSRES_CONST_ACTION_TYPE_UNLOCK SYSRES_CONST_ACTION_TYPE_UNLOCK_FROM_SERVER SYSRES_CONST_ACTION_TYPE_VERSION_STATE SYSRES_CONST_ACTION_TYPE_VERSION_VISIBILITY SYSRES_CONST_ACTION_TYPE_VIEW SYSRES_CONST_ACTION_TYPE_VIEW_SHADOW_COPY SYSRES_CONST_ACTION_TYPE_WORKFLOW_DESCRIPTION_MODIFY SYSRES_CONST_ACTION_TYPE_WRITE_HISTORY SYSRES_CONST_ACTIVE_VERSION_STATE_PICK_VALUE SYSRES_CONST_ADD_REFERENCE_MODE_NAME SYSRES_CONST_ADDITION_REQUISITE_CODE SYSRES_CONST_ADDITIONAL_PARAMS_REQUISITE_CODE SYSRES_CONST_ADITIONAL_JOB_END_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_READ_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_START_DATE_REQUISITE_NAME SYSRES_CONST_ADITIONAL_JOB_STATE_REQUISITE_NAME SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_ADDING_USER_TO_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_CREATION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_DATABASE_USER_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_COMP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_DELETION_USER_FROM_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_GRANTING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_MAIN_SERVER_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_IS_PUBLIC_CHANGED_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_FILTERER_RESTRICTION_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_PRIVILEGE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_REMOVING_RIGHTS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_CREATION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION SYSRES_CONST_ADMINISTRATION_HISTORY_SERVER_LOGIN_DELETION_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_CATEGORY_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_COMP_TITLE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_FULL_NAME_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_PARENT_GROUP_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_AUTH_TYPE_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_LOGIN_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION SYSRES_CONST_ADMINISTRATION_HISTORY_UPDATING_USER_STATUS_ACTION_CODE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE SYSRES_CONST_ADMINISTRATION_HISTORY_USER_PASSWORD_CHANGE_ACTION SYSRES_CONST_ALL_ACCEPT_CONDITION_RUS SYSRES_CONST_ALL_USERS_GROUP SYSRES_CONST_ALL_USERS_GROUP_NAME SYSRES_CONST_ALL_USERS_SERVER_GROUP_NAME SYSRES_CONST_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_APP_VIEWER_TYPE_REQUISITE_CODE SYSRES_CONST_APPROVING_SIGNATURE_NAME SYSRES_CONST_APPROVING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE SYSRES_CONST_ASSISTANT_SUBSTITUE_TYPE_CODE SYSRES_CONST_ATTACH_TYPE_COMPONENT_TOKEN SYSRES_CONST_ATTACH_TYPE_DOC SYSRES_CONST_ATTACH_TYPE_EDOC SYSRES_CONST_ATTACH_TYPE_FOLDER SYSRES_CONST_ATTACH_TYPE_JOB SYSRES_CONST_ATTACH_TYPE_REFERENCE SYSRES_CONST_ATTACH_TYPE_TASK SYSRES_CONST_AUTH_ENCODED_PASSWORD SYSRES_CONST_AUTH_ENCODED_PASSWORD_CODE SYSRES_CONST_AUTH_NOVELL SYSRES_CONST_AUTH_PASSWORD SYSRES_CONST_AUTH_PASSWORD_CODE SYSRES_CONST_AUTH_WINDOWS SYSRES_CONST_AUTHENTICATING_SIGNATURE_NAME SYSRES_CONST_AUTHENTICATING_SIGNATURE_REQUISITE_CODE SYSRES_CONST_AUTO_ENUM_METHOD_FLAG SYSRES_CONST_AUTO_NUMERATION_CODE SYSRES_CONST_AUTO_STRONG_ENUM_METHOD_FLAG SYSRES_CONST_AUTOTEXT_NAME_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_TEXT_REQUISITE_CODE SYSRES_CONST_AUTOTEXT_USAGE_ALL SYSRES_CONST_AUTOTEXT_USAGE_ALL_CODE SYSRES_CONST_AUTOTEXT_USAGE_SIGN SYSRES_CONST_AUTOTEXT_USAGE_SIGN_CODE SYSRES_CONST_AUTOTEXT_USAGE_WORK SYSRES_CONST_AUTOTEXT_USAGE_WORK_CODE SYSRES_CONST_AUTOTEXT_USE_ANYWHERE_CODE SYSRES_CONST_AUTOTEXT_USE_ON_SIGNING_CODE SYSRES_CONST_AUTOTEXT_USE_ON_WORK_CODE SYSRES_CONST_BEGIN_DATE_REQUISITE_CODE SYSRES_CONST_BLACK_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BLUE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_BTN_PART SYSRES_CONST_CALCULATED_ROLE_TYPE_CODE SYSRES_CONST_CALL_TYPE_VARIABLE_BUTTON_VALUE SYSRES_CONST_CALL_TYPE_VARIABLE_PROGRAM_VALUE SYSRES_CONST_CANCEL_MESSAGE_FUNCTION_RESULT SYSRES_CONST_CARD_PART SYSRES_CONST_CARD_REFERENCE_MODE_NAME SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_AND_ENCRYPT_VALUE SYSRES_CONST_CERTIFICATE_TYPE_REQUISITE_SIGN_VALUE SYSRES_CONST_CHECK_PARAM_VALUE_DATE_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_FLOAT_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_INTEGER_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_PICK_PARAM_TYPE SYSRES_CONST_CHECK_PARAM_VALUE_REEFRENCE_PARAM_TYPE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_CLOSED_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_CODE_COMPONENT_TYPE_ADMIN SYSRES_CONST_CODE_COMPONENT_TYPE_DEVELOPER SYSRES_CONST_CODE_COMPONENT_TYPE_DOCS SYSRES_CONST_CODE_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_CODE_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_CODE_COMPONENT_TYPE_OTHER SYSRES_CONST_CODE_COMPONENT_TYPE_REFERENCE SYSRES_CONST_CODE_COMPONENT_TYPE_REPORT SYSRES_CONST_CODE_COMPONENT_TYPE_SCRIPT SYSRES_CONST_CODE_COMPONENT_TYPE_URL SYSRES_CONST_CODE_REQUISITE_ACCESS SYSRES_CONST_CODE_REQUISITE_CODE SYSRES_CONST_CODE_REQUISITE_COMPONENT SYSRES_CONST_CODE_REQUISITE_DESCRIPTION SYSRES_CONST_CODE_REQUISITE_EXCLUDE_COMPONENT SYSRES_CONST_CODE_REQUISITE_RECORD SYSRES_CONST_COMMENT_REQ_CODE SYSRES_CONST_COMMON_SETTINGS_REQUISITE_CODE SYSRES_CONST_COMP_CODE_GRD SYSRES_CONST_COMPONENT_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_COMPONENT_TYPE_ADMIN_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DEVELOPER_COMPONENTS SYSRES_CONST_COMPONENT_TYPE_DOCS SYSRES_CONST_COMPONENT_TYPE_EDOC_CARDS SYSRES_CONST_COMPONENT_TYPE_EDOCS SYSRES_CONST_COMPONENT_TYPE_EXTERNAL_EXECUTABLE SYSRES_CONST_COMPONENT_TYPE_OTHER SYSRES_CONST_COMPONENT_TYPE_REFERENCE_TYPES SYSRES_CONST_COMPONENT_TYPE_REFERENCES SYSRES_CONST_COMPONENT_TYPE_REPORTS SYSRES_CONST_COMPONENT_TYPE_SCRIPTS SYSRES_CONST_COMPONENT_TYPE_URL SYSRES_CONST_COMPONENTS_REMOTE_SERVERS_VIEW_CODE SYSRES_CONST_CONDITION_BLOCK_DESCRIPTION SYSRES_CONST_CONST_FIRM_STATUS_COMMON SYSRES_CONST_CONST_FIRM_STATUS_INDIVIDUAL SYSRES_CONST_CONST_NEGATIVE_VALUE SYSRES_CONST_CONST_POSITIVE_VALUE SYSRES_CONST_CONST_SERVER_STATUS_DONT_REPLICATE SYSRES_CONST_CONST_SERVER_STATUS_REPLICATE SYSRES_CONST_CONTENTS_REQUISITE_CODE SYSRES_CONST_DATA_TYPE_BOOLEAN SYSRES_CONST_DATA_TYPE_DATE SYSRES_CONST_DATA_TYPE_FLOAT SYSRES_CONST_DATA_TYPE_INTEGER SYSRES_CONST_DATA_TYPE_PICK SYSRES_CONST_DATA_TYPE_REFERENCE SYSRES_CONST_DATA_TYPE_STRING SYSRES_CONST_DATA_TYPE_TEXT SYSRES_CONST_DATA_TYPE_VARIANT SYSRES_CONST_DATE_CLOSE_REQ_CODE SYSRES_CONST_DATE_FORMAT_DATE_ONLY_CHAR SYSRES_CONST_DATE_OPEN_REQ_CODE SYSRES_CONST_DATE_REQUISITE SYSRES_CONST_DATE_REQUISITE_CODE SYSRES_CONST_DATE_REQUISITE_NAME SYSRES_CONST_DATE_REQUISITE_TYPE SYSRES_CONST_DATE_TYPE_CHAR SYSRES_CONST_DATETIME_FORMAT_VALUE SYSRES_CONST_DEA_ACCESS_RIGHTS_ACTION_CODE SYSRES_CONST_DESCRIPTION_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_DET1_PART SYSRES_CONST_DET2_PART SYSRES_CONST_DET3_PART SYSRES_CONST_DET4_PART SYSRES_CONST_DET5_PART SYSRES_CONST_DET6_PART SYSRES_CONST_DETAIL_DATASET_KEY_REQUISITE_CODE SYSRES_CONST_DETAIL_PICK_REQUISITE_CODE SYSRES_CONST_DETAIL_REQ_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ACCESS_TYPE_NAME SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_CODE SYSRES_CONST_DO_NOT_USE_ON_VIEW_ACCESS_TYPE_NAME SYSRES_CONST_DOCUMENT_STORAGES_CODE SYSRES_CONST_DOCUMENT_TEMPLATES_TYPE_NAME SYSRES_CONST_DOUBLE_REQUISITE_CODE SYSRES_CONST_EDITOR_CLOSE_FILE_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_CLOSE_PROCESS_OBSERV_TYPE_CODE SYSRES_CONST_EDITOR_TYPE_REQUISITE_CODE SYSRES_CONST_EDITORS_APPLICATION_NAME_REQUISITE_CODE SYSRES_CONST_EDITORS_CREATE_SEVERAL_PROCESSES_REQUISITE_CODE SYSRES_CONST_EDITORS_EXTENSION_REQUISITE_CODE SYSRES_CONST_EDITORS_OBSERVER_BY_PROCESS_TYPE SYSRES_CONST_EDITORS_REFERENCE_CODE SYSRES_CONST_EDITORS_REPLACE_SPEC_CHARS_REQUISITE_CODE SYSRES_CONST_EDITORS_USE_PLUGINS_REQUISITE_CODE SYSRES_CONST_EDITORS_VIEW_DOCUMENT_OPENED_TO_EDIT_CODE SYSRES_CONST_EDOC_CARD_TYPE_REQUISITE_CODE SYSRES_CONST_EDOC_CARD_TYPES_LINK_REQUISITE_CODE SYSRES_CONST_EDOC_CERTIFICATE_AND_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_CERTIFICATE_ENCODE_CODE SYSRES_CONST_EDOC_DATE_REQUISITE_CODE SYSRES_CONST_EDOC_KIND_REFERENCE_CODE SYSRES_CONST_EDOC_KINDS_BY_TEMPLATE_ACTION_CODE SYSRES_CONST_EDOC_MANAGE_ACCESS_CODE SYSRES_CONST_EDOC_NONE_ENCODE_CODE SYSRES_CONST_EDOC_NUMBER_REQUISITE_CODE SYSRES_CONST_EDOC_PASSWORD_ENCODE_CODE SYSRES_CONST_EDOC_READONLY_ACCESS_CODE SYSRES_CONST_EDOC_SHELL_LIFE_TYPE_VIEW_VALUE SYSRES_CONST_EDOC_SIZE_RESTRICTION_PRIORITY_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_CHECK_ACCESS_RIGHTS_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_COMPUTER_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_DATABASE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_EDIT_IN_STORAGE_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_LOCAL_PATH_REQUISITE_CODE SYSRES_CONST_EDOC_STORAGE_SHARED_SOURCE_NAME_REQUISITE_CODE SYSRES_CONST_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_EDOC_TYPES_REFERENCE_CODE SYSRES_CONST_EDOC_VERSION_ACTIVE_STAGE_CODE SYSRES_CONST_EDOC_VERSION_DESIGN_STAGE_CODE SYSRES_CONST_EDOC_VERSION_OBSOLETE_STAGE_CODE SYSRES_CONST_EDOC_WRITE_ACCES_CODE SYSRES_CONST_EDOCUMENT_CARD_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_END_DATE_REQUISITE_CODE SYSRES_CONST_ENUMERATION_TYPE_REQUISITE_CODE SYSRES_CONST_EXECUTE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_EXECUTIVE_FILE_STORAGE_TYPE SYSRES_CONST_EXIST_CONST SYSRES_CONST_EXIST_VALUE SYSRES_CONST_EXPORT_LOCK_TYPE_ASK SYSRES_CONST_EXPORT_LOCK_TYPE_WITH_LOCK SYSRES_CONST_EXPORT_LOCK_TYPE_WITHOUT_LOCK SYSRES_CONST_EXPORT_VERSION_TYPE_ASK SYSRES_CONST_EXPORT_VERSION_TYPE_LAST SYSRES_CONST_EXPORT_VERSION_TYPE_LAST_ACTIVE SYSRES_CONST_EXTENSION_REQUISITE_CODE SYSRES_CONST_FILTER_NAME_REQUISITE_CODE SYSRES_CONST_FILTER_REQUISITE_CODE SYSRES_CONST_FILTER_TYPE_COMMON_CODE SYSRES_CONST_FILTER_TYPE_COMMON_NAME SYSRES_CONST_FILTER_TYPE_USER_CODE SYSRES_CONST_FILTER_TYPE_USER_NAME SYSRES_CONST_FILTER_VALUE_REQUISITE_NAME SYSRES_CONST_FLOAT_NUMBER_FORMAT_CHAR SYSRES_CONST_FLOAT_REQUISITE_TYPE SYSRES_CONST_FOLDER_AUTHOR_VALUE SYSRES_CONST_FOLDER_KIND_ANY_OBJECTS SYSRES_CONST_FOLDER_KIND_COMPONENTS SYSRES_CONST_FOLDER_KIND_EDOCS SYSRES_CONST_FOLDER_KIND_JOBS SYSRES_CONST_FOLDER_KIND_TASKS SYSRES_CONST_FOLDER_TYPE_COMMON SYSRES_CONST_FOLDER_TYPE_COMPONENT SYSRES_CONST_FOLDER_TYPE_FAVORITES SYSRES_CONST_FOLDER_TYPE_INBOX SYSRES_CONST_FOLDER_TYPE_OUTBOX SYSRES_CONST_FOLDER_TYPE_QUICK_LAUNCH SYSRES_CONST_FOLDER_TYPE_SEARCH SYSRES_CONST_FOLDER_TYPE_SHORTCUTS SYSRES_CONST_FOLDER_TYPE_USER SYSRES_CONST_FROM_DICTIONARY_ENUM_METHOD_FLAG SYSRES_CONST_FULL_SUBSTITUTE_TYPE SYSRES_CONST_FULL_SUBSTITUTE_TYPE_CODE SYSRES_CONST_FUNCTION_CANCEL_RESULT SYSRES_CONST_FUNCTION_CATEGORY_SYSTEM SYSRES_CONST_FUNCTION_CATEGORY_USER SYSRES_CONST_FUNCTION_FAILURE_RESULT SYSRES_CONST_FUNCTION_SAVE_RESULT SYSRES_CONST_GENERATED_REQUISITE SYSRES_CONST_GREEN_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_GROUP_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_CODE SYSRES_CONST_GROUP_CATEGORY_NORMAL_NAME SYSRES_CONST_GROUP_CATEGORY_SERVICE_CODE SYSRES_CONST_GROUP_CATEGORY_SERVICE_NAME SYSRES_CONST_GROUP_COMMON_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_FULL_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_CODES_REQUISITE_CODE SYSRES_CONST_GROUP_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_GROUP_SERVICE_CATEGORY_FIELD_VALUE SYSRES_CONST_GROUP_USER_REQUISITE_CODE SYSRES_CONST_GROUPS_REFERENCE_CODE SYSRES_CONST_GROUPS_REQUISITE_CODE SYSRES_CONST_HIDDEN_MODE_NAME SYSRES_CONST_HIGH_LVL_REQUISITE_CODE SYSRES_CONST_HISTORY_ACTION_CREATE_CODE SYSRES_CONST_HISTORY_ACTION_DELETE_CODE SYSRES_CONST_HISTORY_ACTION_EDIT_CODE SYSRES_CONST_HOUR_CHAR SYSRES_CONST_ID_REQUISITE_CODE SYSRES_CONST_IDSPS_REQUISITE_CODE SYSRES_CONST_IMAGE_MODE_COLOR SYSRES_CONST_IMAGE_MODE_GREYSCALE SYSRES_CONST_IMAGE_MODE_MONOCHROME SYSRES_CONST_IMPORTANCE_HIGH SYSRES_CONST_IMPORTANCE_LOW SYSRES_CONST_IMPORTANCE_NORMAL SYSRES_CONST_IN_DESIGN_VERSION_STATE_PICK_VALUE SYSRES_CONST_INCOMING_WORK_RULE_TYPE_CODE SYSRES_CONST_INT_REQUISITE SYSRES_CONST_INT_REQUISITE_TYPE SYSRES_CONST_INTEGER_NUMBER_FORMAT_CHAR SYSRES_CONST_INTEGER_TYPE_CHAR SYSRES_CONST_IS_GENERATED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_PUBLIC_ROLE_REQUISITE_CODE SYSRES_CONST_IS_REMOTE_USER_NEGATIVE_VALUE SYSRES_CONST_IS_REMOTE_USER_POSITIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_NEGATIVE_VALUE SYSRES_CONST_IS_STORED_REQUISITE_STORED_VALUE SYSRES_CONST_ITALIC_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_JOB_BLOCK_DESCRIPTION SYSRES_CONST_JOB_KIND_CONTROL_JOB SYSRES_CONST_JOB_KIND_JOB SYSRES_CONST_JOB_KIND_NOTICE SYSRES_CONST_JOB_STATE_ABORTED SYSRES_CONST_JOB_STATE_COMPLETE SYSRES_CONST_JOB_STATE_WORKING SYSRES_CONST_KIND_REQUISITE_CODE SYSRES_CONST_KIND_REQUISITE_NAME SYSRES_CONST_KINDS_CREATE_SHADOW_COPIES_REQUISITE_CODE SYSRES_CONST_KINDS_DEFAULT_EDOC_LIFE_STAGE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALL_TEPLATES_ALLOWED_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_LIFE_CYCLE_STAGE_CHANGING_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_ALLOW_MULTIPLE_ACTIVE_VERSIONS_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_SHARE_ACCES_RIGHTS_BY_DEFAULT_CODE SYSRES_CONST_KINDS_EDOC_TEMPLATE_REQUISITE_CODE SYSRES_CONST_KINDS_EDOC_TYPE_REQUISITE_CODE SYSRES_CONST_KINDS_SIGNERS_REQUISITES_CODE SYSRES_CONST_KOD_INPUT_TYPE SYSRES_CONST_LAST_UPDATE_DATE_REQUISITE_CODE SYSRES_CONST_LIFE_CYCLE_START_STAGE_REQUISITE_CODE SYSRES_CONST_LILAC_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_LINK_OBJECT_KIND_COMPONENT SYSRES_CONST_LINK_OBJECT_KIND_DOCUMENT SYSRES_CONST_LINK_OBJECT_KIND_EDOC SYSRES_CONST_LINK_OBJECT_KIND_FOLDER SYSRES_CONST_LINK_OBJECT_KIND_JOB SYSRES_CONST_LINK_OBJECT_KIND_REFERENCE SYSRES_CONST_LINK_OBJECT_KIND_TASK SYSRES_CONST_LINK_REF_TYPE_REQUISITE_CODE SYSRES_CONST_LIST_REFERENCE_MODE_NAME SYSRES_CONST_LOCALIZATION_DICTIONARY_MAIN_VIEW_CODE SYSRES_CONST_MAIN_VIEW_CODE SYSRES_CONST_MANUAL_ENUM_METHOD_FLAG SYSRES_CONST_MASTER_COMP_TYPE_REQUISITE_CODE SYSRES_CONST_MASTER_TABLE_REC_ID_REQUISITE_CODE SYSRES_CONST_MAXIMIZED_MODE_NAME SYSRES_CONST_ME_VALUE SYSRES_CONST_MESSAGE_ATTENTION_CAPTION SYSRES_CONST_MESSAGE_CONFIRMATION_CAPTION SYSRES_CONST_MESSAGE_ERROR_CAPTION SYSRES_CONST_MESSAGE_INFORMATION_CAPTION SYSRES_CONST_MINIMIZED_MODE_NAME SYSRES_CONST_MINUTE_CHAR SYSRES_CONST_MODULE_REQUISITE_CODE SYSRES_CONST_MONITORING_BLOCK_DESCRIPTION SYSRES_CONST_MONTH_FORMAT_VALUE SYSRES_CONST_NAME_LOCALIZE_ID_REQUISITE_CODE SYSRES_CONST_NAME_REQUISITE_CODE SYSRES_CONST_NAME_SINGULAR_REQUISITE_CODE SYSRES_CONST_NAMEAN_INPUT_TYPE SYSRES_CONST_NEGATIVE_PICK_VALUE SYSRES_CONST_NEGATIVE_VALUE SYSRES_CONST_NO SYSRES_CONST_NO_PICK_VALUE SYSRES_CONST_NO_SIGNATURE_REQUISITE_CODE SYSRES_CONST_NO_VALUE SYSRES_CONST_NONE_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE SYSRES_CONST_NONOPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_NORMAL_ACCESS_RIGHTS_TYPE_CODE SYSRES_CONST_NORMAL_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_NORMAL_MODE_NAME SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_CODE SYSRES_CONST_NOT_ALLOWED_ACCESS_TYPE_NAME SYSRES_CONST_NOTE_REQUISITE_CODE SYSRES_CONST_NOTICE_BLOCK_DESCRIPTION SYSRES_CONST_NUM_REQUISITE SYSRES_CONST_NUM_STR_REQUISITE_CODE SYSRES_CONST_NUMERATION_AUTO_NOT_STRONG SYSRES_CONST_NUMERATION_AUTO_STRONG SYSRES_CONST_NUMERATION_FROM_DICTONARY SYSRES_CONST_NUMERATION_MANUAL SYSRES_CONST_NUMERIC_TYPE_CHAR SYSRES_CONST_NUMREQ_REQUISITE_CODE SYSRES_CONST_OBSOLETE_VERSION_STATE_PICK_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_FEMININE SYSRES_CONST_OPERATING_RECORD_FLAG_VALUE_MASCULINE SYSRES_CONST_OPTIONAL_FORM_COMP_REQCODE_PREFIX SYSRES_CONST_ORANGE_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_ORIGINALREF_REQUISITE_CODE SYSRES_CONST_OURFIRM_REF_CODE SYSRES_CONST_OURFIRM_REQUISITE_CODE SYSRES_CONST_OURFIRM_VAR SYSRES_CONST_OUTGOING_WORK_RULE_TYPE_CODE SYSRES_CONST_PICK_NEGATIVE_RESULT SYSRES_CONST_PICK_POSITIVE_RESULT SYSRES_CONST_PICK_REQUISITE SYSRES_CONST_PICK_REQUISITE_TYPE SYSRES_CONST_PICK_TYPE_CHAR SYSRES_CONST_PLAN_STATUS_REQUISITE_CODE SYSRES_CONST_PLATFORM_VERSION_COMMENT SYSRES_CONST_PLUGINS_SETTINGS_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_POSITIVE_PICK_VALUE SYSRES_CONST_POWER_TO_CREATE_ACTION_CODE SYSRES_CONST_POWER_TO_SIGN_ACTION_CODE SYSRES_CONST_PRIORITY_REQUISITE_CODE SYSRES_CONST_QUALIFIED_TASK_TYPE SYSRES_CONST_QUALIFIED_TASK_TYPE_CODE SYSRES_CONST_RECSTAT_REQUISITE_CODE SYSRES_CONST_RED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_REF_ID_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_REF_REQUISITE SYSRES_CONST_REF_REQUISITE_TYPE SYSRES_CONST_REF_REQUISITES_REFERENCE_CODE_SELECTED_REQUISITE SYSRES_CONST_REFERENCE_RECORD_HISTORY_CREATE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_DELETE_ACTION_CODE SYSRES_CONST_REFERENCE_RECORD_HISTORY_MODIFY_ACTION_CODE SYSRES_CONST_REFERENCE_TYPE_CHAR SYSRES_CONST_REFERENCE_TYPE_REQUISITE_NAME SYSRES_CONST_REFERENCES_ADD_PARAMS_REQUISITE_CODE SYSRES_CONST_REFERENCES_DISPLAY_REQUISITE_REQUISITE_CODE SYSRES_CONST_REMOTE_SERVER_STATUS_WORKING SYSRES_CONST_REMOTE_SERVER_TYPE_MAIN SYSRES_CONST_REMOTE_SERVER_TYPE_SECONDARY SYSRES_CONST_REMOTE_USER_FLAG_VALUE_CODE SYSRES_CONST_REPORT_APP_EDITOR_INTERNAL SYSRES_CONST_REPORT_BASE_REPORT_ID_REQUISITE_CODE SYSRES_CONST_REPORT_BASE_REPORT_REQUISITE_CODE SYSRES_CONST_REPORT_SCRIPT_REQUISITE_CODE SYSRES_CONST_REPORT_TEMPLATE_REQUISITE_CODE SYSRES_CONST_REPORT_VIEWER_CODE_REQUISITE_CODE SYSRES_CONST_REQ_ALLOW_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_RECORD_DEFAULT_VALUE SYSRES_CONST_REQ_ALLOW_SERVER_COMPONENT_DEFAULT_VALUE SYSRES_CONST_REQ_MODE_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_EDIT_CODE SYSRES_CONST_REQ_MODE_HIDDEN_CODE SYSRES_CONST_REQ_MODE_NOT_AVAILABLE_CODE SYSRES_CONST_REQ_MODE_VIEW_CODE SYSRES_CONST_REQ_NUMBER_REQUISITE_CODE SYSRES_CONST_REQ_SECTION_VALUE SYSRES_CONST_REQ_TYPE_VALUE SYSRES_CONST_REQUISITE_FORMAT_BY_UNIT SYSRES_CONST_REQUISITE_FORMAT_DATE_FULL SYSRES_CONST_REQUISITE_FORMAT_DATE_TIME SYSRES_CONST_REQUISITE_FORMAT_LEFT SYSRES_CONST_REQUISITE_FORMAT_RIGHT SYSRES_CONST_REQUISITE_FORMAT_WITHOUT_UNIT SYSRES_CONST_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_REQUISITE_SECTION_ACTIONS SYSRES_CONST_REQUISITE_SECTION_BUTTON SYSRES_CONST_REQUISITE_SECTION_BUTTONS SYSRES_CONST_REQUISITE_SECTION_CARD SYSRES_CONST_REQUISITE_SECTION_TABLE SYSRES_CONST_REQUISITE_SECTION_TABLE10 SYSRES_CONST_REQUISITE_SECTION_TABLE11 SYSRES_CONST_REQUISITE_SECTION_TABLE12 SYSRES_CONST_REQUISITE_SECTION_TABLE13 SYSRES_CONST_REQUISITE_SECTION_TABLE14 SYSRES_CONST_REQUISITE_SECTION_TABLE15 SYSRES_CONST_REQUISITE_SECTION_TABLE16 SYSRES_CONST_REQUISITE_SECTION_TABLE17 SYSRES_CONST_REQUISITE_SECTION_TABLE18 SYSRES_CONST_REQUISITE_SECTION_TABLE19 SYSRES_CONST_REQUISITE_SECTION_TABLE2 SYSRES_CONST_REQUISITE_SECTION_TABLE20 SYSRES_CONST_REQUISITE_SECTION_TABLE21 SYSRES_CONST_REQUISITE_SECTION_TABLE22 SYSRES_CONST_REQUISITE_SECTION_TABLE23 SYSRES_CONST_REQUISITE_SECTION_TABLE24 SYSRES_CONST_REQUISITE_SECTION_TABLE3 SYSRES_CONST_REQUISITE_SECTION_TABLE4 SYSRES_CONST_REQUISITE_SECTION_TABLE5 SYSRES_CONST_REQUISITE_SECTION_TABLE6 SYSRES_CONST_REQUISITE_SECTION_TABLE7 SYSRES_CONST_REQUISITE_SECTION_TABLE8 SYSRES_CONST_REQUISITE_SECTION_TABLE9 SYSRES_CONST_REQUISITES_PSEUDOREFERENCE_REQUISITE_NUMBER_REQUISITE_CODE SYSRES_CONST_RIGHT_ALIGNMENT_CODE SYSRES_CONST_ROLES_REFERENCE_CODE SYSRES_CONST_ROUTE_STEP_AFTER_RUS SYSRES_CONST_ROUTE_STEP_AND_CONDITION_RUS SYSRES_CONST_ROUTE_STEP_OR_CONDITION_RUS SYSRES_CONST_ROUTE_TYPE_COMPLEX SYSRES_CONST_ROUTE_TYPE_PARALLEL SYSRES_CONST_ROUTE_TYPE_SERIAL SYSRES_CONST_SBDATASETDESC_NEGATIVE_VALUE SYSRES_CONST_SBDATASETDESC_POSITIVE_VALUE SYSRES_CONST_SBVIEWSDESC_POSITIVE_VALUE SYSRES_CONST_SCRIPT_BLOCK_DESCRIPTION SYSRES_CONST_SEARCH_BY_TEXT_REQUISITE_CODE SYSRES_CONST_SEARCHES_COMPONENT_CONTENT SYSRES_CONST_SEARCHES_CRITERIA_ACTION_NAME SYSRES_CONST_SEARCHES_EDOC_CONTENT SYSRES_CONST_SEARCHES_FOLDER_CONTENT SYSRES_CONST_SEARCHES_JOB_CONTENT SYSRES_CONST_SEARCHES_REFERENCE_CODE SYSRES_CONST_SEARCHES_TASK_CONTENT SYSRES_CONST_SECOND_CHAR SYSRES_CONST_SECTION_REQUISITE_ACTIONS_VALUE SYSRES_CONST_SECTION_REQUISITE_CARD_VALUE SYSRES_CONST_SECTION_REQUISITE_CODE SYSRES_CONST_SECTION_REQUISITE_DETAIL_1_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_2_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_3_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_4_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_5_VALUE SYSRES_CONST_SECTION_REQUISITE_DETAIL_6_VALUE SYSRES_CONST_SELECT_REFERENCE_MODE_NAME SYSRES_CONST_SELECT_TYPE_SELECTABLE SYSRES_CONST_SELECT_TYPE_SELECTABLE_ONLY_CHILD SYSRES_CONST_SELECT_TYPE_SELECTABLE_WITH_CHILD SYSRES_CONST_SELECT_TYPE_UNSLECTABLE SYSRES_CONST_SERVER_TYPE_MAIN SYSRES_CONST_SERVICE_USER_CATEGORY_FIELD_VALUE SYSRES_CONST_SETTINGS_USER_REQUISITE_CODE SYSRES_CONST_SIGNATURE_AND_ENCODE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SIGNATURE_CERTIFICATE_TYPE_CODE SYSRES_CONST_SINGULAR_TITLE_REQUISITE_CODE SYSRES_CONST_SQL_SERVER_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_SQL_SERVER_ENCODE_AUTHENTIFICATION_FLAG_VALUE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_CODE SYSRES_CONST_STANDART_ROUTE_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_STANDART_ROUTES_GROUPS_REFERENCE_CODE SYSRES_CONST_STATE_REQ_NAME SYSRES_CONST_STATE_REQUISITE_ACTIVE_VALUE SYSRES_CONST_STATE_REQUISITE_CLOSED_VALUE SYSRES_CONST_STATE_REQUISITE_CODE SYSRES_CONST_STATIC_ROLE_TYPE_CODE SYSRES_CONST_STATUS_PLAN_DEFAULT_VALUE SYSRES_CONST_STATUS_VALUE_AUTOCLEANING SYSRES_CONST_STATUS_VALUE_BLUE_SQUARE SYSRES_CONST_STATUS_VALUE_COMPLETE SYSRES_CONST_STATUS_VALUE_GREEN_SQUARE SYSRES_CONST_STATUS_VALUE_ORANGE_SQUARE SYSRES_CONST_STATUS_VALUE_PURPLE_SQUARE SYSRES_CONST_STATUS_VALUE_RED_SQUARE SYSRES_CONST_STATUS_VALUE_SUSPEND SYSRES_CONST_STATUS_VALUE_YELLOW_SQUARE SYSRES_CONST_STDROUTE_SHOW_TO_USERS_REQUISITE_CODE SYSRES_CONST_STORAGE_TYPE_FILE SYSRES_CONST_STORAGE_TYPE_SQL_SERVER SYSRES_CONST_STR_REQUISITE SYSRES_CONST_STRIKEOUT_LIFE_CYCLE_STAGE_DRAW_STYLE SYSRES_CONST_STRING_FORMAT_LEFT_ALIGN_CHAR SYSRES_CONST_STRING_FORMAT_RIGHT_ALIGN_CHAR SYSRES_CONST_STRING_REQUISITE_CODE SYSRES_CONST_STRING_REQUISITE_TYPE SYSRES_CONST_STRING_TYPE_CHAR SYSRES_CONST_SUBSTITUTES_PSEUDOREFERENCE_CODE SYSRES_CONST_SUBTASK_BLOCK_DESCRIPTION SYSRES_CONST_SYSTEM_SETTING_CURRENT_USER_PARAM_VALUE SYSRES_CONST_SYSTEM_SETTING_EMPTY_VALUE_PARAM_VALUE SYSRES_CONST_SYSTEM_VERSION_COMMENT SYSRES_CONST_TASK_ACCESS_TYPE_ALL SYSRES_CONST_TASK_ACCESS_TYPE_ALL_MEMBERS SYSRES_CONST_TASK_ACCESS_TYPE_MANUAL SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION SYSRES_CONST_TASK_ENCODE_TYPE_CERTIFICATION_AND_PASSWORD SYSRES_CONST_TASK_ENCODE_TYPE_NONE SYSRES_CONST_TASK_ENCODE_TYPE_PASSWORD SYSRES_CONST_TASK_ROUTE_ALL_CONDITION SYSRES_CONST_TASK_ROUTE_AND_CONDITION SYSRES_CONST_TASK_ROUTE_OR_CONDITION SYSRES_CONST_TASK_STATE_ABORTED SYSRES_CONST_TASK_STATE_COMPLETE SYSRES_CONST_TASK_STATE_CONTINUED SYSRES_CONST_TASK_STATE_CONTROL SYSRES_CONST_TASK_STATE_INIT SYSRES_CONST_TASK_STATE_WORKING SYSRES_CONST_TASK_TITLE SYSRES_CONST_TASK_TYPES_GROUPS_REFERENCE_CODE SYSRES_CONST_TASK_TYPES_REFERENCE_CODE SYSRES_CONST_TEMPLATES_REFERENCE_CODE SYSRES_CONST_TEST_DATE_REQUISITE_NAME SYSRES_CONST_TEST_DEV_DATABASE_NAME SYSRES_CONST_TEST_DEV_SYSTEM_CODE SYSRES_CONST_TEST_EDMS_DATABASE_NAME SYSRES_CONST_TEST_EDMS_MAIN_CODE SYSRES_CONST_TEST_EDMS_MAIN_DB_NAME SYSRES_CONST_TEST_EDMS_SECOND_CODE SYSRES_CONST_TEST_EDMS_SECOND_DB_NAME SYSRES_CONST_TEST_EDMS_SYSTEM_CODE SYSRES_CONST_TEST_NUMERIC_REQUISITE_NAME SYSRES_CONST_TEXT_REQUISITE SYSRES_CONST_TEXT_REQUISITE_CODE SYSRES_CONST_TEXT_REQUISITE_TYPE SYSRES_CONST_TEXT_TYPE_CHAR SYSRES_CONST_TYPE_CODE_REQUISITE_CODE SYSRES_CONST_TYPE_REQUISITE_CODE SYSRES_CONST_UNDEFINED_LIFE_CYCLE_STAGE_FONT_COLOR SYSRES_CONST_UNITS_SECTION_ID_REQUISITE_CODE SYSRES_CONST_UNITS_SECTION_REQUISITE_CODE SYSRES_CONST_UNOPERATING_RECORD_FLAG_VALUE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_CODE SYSRES_CONST_UNSTORED_DATA_REQUISITE_NAME SYSRES_CONST_USE_ACCESS_TYPE_CODE SYSRES_CONST_USE_ACCESS_TYPE_NAME SYSRES_CONST_USER_ACCOUNT_TYPE_VALUE_CODE SYSRES_CONST_USER_ADDITIONAL_INFORMATION_REQUISITE_CODE SYSRES_CONST_USER_AND_GROUP_ID_FROM_PSEUDOREFERENCE_REQUISITE_CODE SYSRES_CONST_USER_CATEGORY_NORMAL SYSRES_CONST_USER_CERTIFICATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_STATE_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_SUBJECT_NAME_REQUISITE_CODE SYSRES_CONST_USER_CERTIFICATE_THUMBPRINT_REQUISITE_CODE SYSRES_CONST_USER_COMMON_CATEGORY SYSRES_CONST_USER_COMMON_CATEGORY_CODE SYSRES_CONST_USER_FULL_NAME_REQUISITE_CODE SYSRES_CONST_USER_GROUP_TYPE_REQUISITE_CODE SYSRES_CONST_USER_LOGIN_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USER_REMOTE_SYSTEM_REQUISITE_CODE SYSRES_CONST_USER_RIGHTS_T_REQUISITE_CODE SYSRES_CONST_USER_SERVER_NAME_REQUISITE_CODE SYSRES_CONST_USER_SERVICE_CATEGORY SYSRES_CONST_USER_SERVICE_CATEGORY_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_CODE SYSRES_CONST_USER_STATUS_ADMINISTRATOR_NAME SYSRES_CONST_USER_STATUS_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_DEVELOPER_NAME SYSRES_CONST_USER_STATUS_DISABLED_CODE SYSRES_CONST_USER_STATUS_DISABLED_NAME SYSRES_CONST_USER_STATUS_SYSTEM_DEVELOPER_CODE SYSRES_CONST_USER_STATUS_USER_CODE SYSRES_CONST_USER_STATUS_USER_NAME SYSRES_CONST_USER_STATUS_USER_NAME_DEPRECATED SYSRES_CONST_USER_TYPE_FIELD_VALUE_USER SYSRES_CONST_USER_TYPE_REQUISITE_CODE SYSRES_CONST_USERS_CONTROLLER_REQUISITE_CODE SYSRES_CONST_USERS_IS_MAIN_SERVER_REQUISITE_CODE SYSRES_CONST_USERS_REFERENCE_CODE SYSRES_CONST_USERS_REGISTRATION_CERTIFICATES_ACTION_NAME SYSRES_CONST_USERS_REQUISITE_CODE SYSRES_CONST_USERS_SYSTEM_REQUISITE_CODE SYSRES_CONST_USERS_USER_ACCESS_RIGHTS_TYPR_REQUISITE_CODE SYSRES_CONST_USERS_USER_AUTHENTICATION_REQUISITE_CODE SYSRES_CONST_USERS_USER_COMPONENT_REQUISITE_CODE SYSRES_CONST_USERS_USER_GROUP_REQUISITE_CODE SYSRES_CONST_USERS_VIEW_CERTIFICATES_ACTION_NAME SYSRES_CONST_VIEW_DEFAULT_CODE SYSRES_CONST_VIEW_DEFAULT_NAME SYSRES_CONST_VIEWER_REQUISITE_CODE SYSRES_CONST_WAITING_BLOCK_DESCRIPTION SYSRES_CONST_WIZARD_FORM_LABEL_TEST_STRING  SYSRES_CONST_WIZARD_QUERY_PARAM_HEIGHT_ETALON_STRING SYSRES_CONST_WIZARD_REFERENCE_COMMENT_REQUISITE_CODE SYSRES_CONST_WORK_RULES_DESCRIPTION_REQUISITE_CODE SYSRES_CONST_WORK_TIME_CALENDAR_REFERENCE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE SYSRES_CONST_WORK_WORKFLOW_HARD_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORK_WORKFLOW_SOFT_ROUTE_TYPE_VALUE_CODE_RUS SYSRES_CONST_WORKFLOW_ROUTE_TYPR_HARD SYSRES_CONST_WORKFLOW_ROUTE_TYPR_SOFT SYSRES_CONST_XML_ENCODING SYSRES_CONST_XREC_STAT_REQUISITE_CODE SYSRES_CONST_XRECID_FIELD_NAME SYSRES_CONST_YES SYSRES_CONST_YES_NO_2_REQUISITE_CODE SYSRES_CONST_YES_NO_REQUISITE_CODE SYSRES_CONST_YES_NO_T_REF_TYPE_REQUISITE_CODE SYSRES_CONST_YES_PICK_VALUE SYSRES_CONST_YES_VALUE ";
        const base_constants = "CR FALSE nil NO_VALUE NULL TAB TRUE YES_VALUE ";
        const base_group_name_constants = "ADMINISTRATORS_GROUP_NAME CUSTOMIZERS_GROUP_NAME DEVELOPERS_GROUP_NAME SERVICE_USERS_GROUP_NAME ";
        const decision_block_properties_constants = "DECISION_BLOCK_FIRST_OPERAND_PROPERTY DECISION_BLOCK_NAME_PROPERTY DECISION_BLOCK_OPERATION_PROPERTY DECISION_BLOCK_RESULT_TYPE_PROPERTY DECISION_BLOCK_SECOND_OPERAND_PROPERTY ";
        const file_extension_constants = "ANY_FILE_EXTENTION COMPRESSED_DOCUMENT_EXTENSION EXTENDED_DOCUMENT_EXTENSION SHORT_COMPRESSED_DOCUMENT_EXTENSION SHORT_EXTENDED_DOCUMENT_EXTENSION ";
        const job_block_properties_constants = "JOB_BLOCK_ABORT_DEADLINE_PROPERTY JOB_BLOCK_AFTER_FINISH_EVENT JOB_BLOCK_AFTER_QUERY_PARAMETERS_EVENT JOB_BLOCK_ATTACHMENT_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY JOB_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY JOB_BLOCK_BEFORE_QUERY_PARAMETERS_EVENT JOB_BLOCK_BEFORE_START_EVENT JOB_BLOCK_CREATED_JOBS_PROPERTY JOB_BLOCK_DEADLINE_PROPERTY JOB_BLOCK_EXECUTION_RESULTS_PROPERTY JOB_BLOCK_IS_PARALLEL_PROPERTY JOB_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY JOB_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY JOB_BLOCK_JOB_TEXT_PROPERTY JOB_BLOCK_NAME_PROPERTY JOB_BLOCK_NEED_SIGN_ON_PERFORM_PROPERTY JOB_BLOCK_PERFORMER_PROPERTY JOB_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY JOB_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY JOB_BLOCK_SUBJECT_PROPERTY ";
        const language_code_constants = "ENGLISH_LANGUAGE_CODE RUSSIAN_LANGUAGE_CODE ";
        const launching_external_applications_constants = "smHidden smMaximized smMinimized smNormal wmNo wmYes ";
        const link_kind_constants = "COMPONENT_TOKEN_LINK_KIND DOCUMENT_LINK_KIND EDOCUMENT_LINK_KIND FOLDER_LINK_KIND JOB_LINK_KIND REFERENCE_LINK_KIND TASK_LINK_KIND ";
        const lock_type_constants = "COMPONENT_TOKEN_LOCK_TYPE EDOCUMENT_VERSION_LOCK_TYPE ";
        const monitor_block_properties_constants = "MONITOR_BLOCK_AFTER_FINISH_EVENT MONITOR_BLOCK_BEFORE_START_EVENT MONITOR_BLOCK_DEADLINE_PROPERTY MONITOR_BLOCK_INTERVAL_PROPERTY MONITOR_BLOCK_INTERVAL_TYPE_PROPERTY MONITOR_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY MONITOR_BLOCK_NAME_PROPERTY MONITOR_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY MONITOR_BLOCK_SEARCH_SCRIPT_PROPERTY ";
        const notice_block_properties_constants = "NOTICE_BLOCK_AFTER_FINISH_EVENT NOTICE_BLOCK_ATTACHMENT_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY NOTICE_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY NOTICE_BLOCK_BEFORE_START_EVENT NOTICE_BLOCK_CREATED_NOTICES_PROPERTY NOTICE_BLOCK_DEADLINE_PROPERTY NOTICE_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY NOTICE_BLOCK_NAME_PROPERTY NOTICE_BLOCK_NOTICE_TEXT_PROPERTY NOTICE_BLOCK_PERFORMER_PROPERTY NOTICE_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY NOTICE_BLOCK_SUBJECT_PROPERTY ";
        const object_events_constants = "dseAfterCancel dseAfterClose dseAfterDelete dseAfterDeleteOutOfTransaction dseAfterInsert dseAfterOpen dseAfterScroll dseAfterUpdate dseAfterUpdateOutOfTransaction dseBeforeCancel dseBeforeClose dseBeforeDelete dseBeforeDetailUpdate dseBeforeInsert dseBeforeOpen dseBeforeUpdate dseOnAnyRequisiteChange dseOnCloseRecord dseOnDeleteError dseOnOpenRecord dseOnPrepareUpdate dseOnUpdateError dseOnUpdateRatifiedRecord dseOnValidDelete dseOnValidUpdate reOnChange reOnChangeValues SELECTION_BEGIN_ROUTE_EVENT SELECTION_END_ROUTE_EVENT ";
        const object_params_constants = "CURRENT_PERIOD_IS_REQUIRED PREVIOUS_CARD_TYPE_NAME SHOW_RECORD_PROPERTIES_FORM ";
        const other_constants = "ACCESS_RIGHTS_SETTING_DIALOG_CODE ADMINISTRATOR_USER_CODE ANALYTIC_REPORT_TYPE asrtHideLocal asrtHideRemote CALCULATED_ROLE_TYPE_CODE COMPONENTS_REFERENCE_DEVELOPER_VIEW_CODE DCTS_TEST_PROTOCOLS_FOLDER_PATH E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED E_EDOC_VERSION_ALREADY_APPROVINGLY_SIGNED_BY_USER E_EDOC_VERSION_ALREDY_SIGNED E_EDOC_VERSION_ALREDY_SIGNED_BY_USER EDOC_TYPES_CODE_REQUISITE_FIELD_NAME EDOCUMENTS_ALIAS_NAME FILES_FOLDER_PATH FILTER_OPERANDS_DELIMITER FILTER_OPERATIONS_DELIMITER FORMCARD_NAME FORMLIST_NAME GET_EXTENDED_DOCUMENT_EXTENSION_CREATION_MODE GET_EXTENDED_DOCUMENT_EXTENSION_IMPORT_MODE INTEGRATED_REPORT_TYPE IS_BUILDER_APPLICATION_ROLE IS_BUILDER_APPLICATION_ROLE2 IS_BUILDER_USERS ISBSYSDEV LOG_FOLDER_PATH mbCancel mbNo mbNoToAll mbOK mbYes mbYesToAll MEMORY_DATASET_DESRIPTIONS_FILENAME mrNo mrNoToAll mrYes mrYesToAll MULTIPLE_SELECT_DIALOG_CODE NONOPERATING_RECORD_FLAG_FEMININE NONOPERATING_RECORD_FLAG_MASCULINE OPERATING_RECORD_FLAG_FEMININE OPERATING_RECORD_FLAG_MASCULINE PROFILING_SETTINGS_COMMON_SETTINGS_CODE_VALUE PROGRAM_INITIATED_LOOKUP_ACTION ratDelete ratEdit ratInsert REPORT_TYPE REQUIRED_PICK_VALUES_VARIABLE rmCard rmList SBRTE_PROGID_DEV SBRTE_PROGID_RELEASE STATIC_ROLE_TYPE_CODE SUPPRESS_EMPTY_TEMPLATE_CREATION SYSTEM_USER_CODE UPDATE_DIALOG_DATASET USED_IN_OBJECT_HINT_PARAM USER_INITIATED_LOOKUP_ACTION USER_NAME_FORMAT USER_SELECTION_RESTRICTIONS WORKFLOW_TEST_PROTOCOLS_FOLDER_PATH ELS_SUBTYPE_CONTROL_NAME ELS_FOLDER_KIND_CONTROL_NAME REPEAT_PROCESS_CURRENT_OBJECT_EXCEPTION_NAME ";
        const privileges_constants = "PRIVILEGE_COMPONENT_FULL_ACCESS PRIVILEGE_DEVELOPMENT_EXPORT PRIVILEGE_DEVELOPMENT_IMPORT PRIVILEGE_DOCUMENT_DELETE PRIVILEGE_ESD PRIVILEGE_FOLDER_DELETE PRIVILEGE_MANAGE_ACCESS_RIGHTS PRIVILEGE_MANAGE_REPLICATION PRIVILEGE_MANAGE_SESSION_SERVER PRIVILEGE_OBJECT_FULL_ACCESS PRIVILEGE_OBJECT_VIEW PRIVILEGE_RESERVE_LICENSE PRIVILEGE_SYSTEM_CUSTOMIZE PRIVILEGE_SYSTEM_DEVELOP PRIVILEGE_SYSTEM_INSTALL PRIVILEGE_TASK_DELETE PRIVILEGE_USER_PLUGIN_SETTINGS_CUSTOMIZE PRIVILEGES_PSEUDOREFERENCE_CODE ";
        const pseudoreference_code_constants = "ACCESS_TYPES_PSEUDOREFERENCE_CODE ALL_AVAILABLE_COMPONENTS_PSEUDOREFERENCE_CODE ALL_AVAILABLE_PRIVILEGES_PSEUDOREFERENCE_CODE ALL_REPLICATE_COMPONENTS_PSEUDOREFERENCE_CODE AVAILABLE_DEVELOPERS_COMPONENTS_PSEUDOREFERENCE_CODE COMPONENTS_PSEUDOREFERENCE_CODE FILTRATER_SETTINGS_CONFLICTS_PSEUDOREFERENCE_CODE GROUPS_PSEUDOREFERENCE_CODE RECEIVE_PROTOCOL_PSEUDOREFERENCE_CODE REFERENCE_REQUISITE_PSEUDOREFERENCE_CODE REFERENCE_REQUISITES_PSEUDOREFERENCE_CODE REFTYPES_PSEUDOREFERENCE_CODE REPLICATION_SEANCES_DIARY_PSEUDOREFERENCE_CODE SEND_PROTOCOL_PSEUDOREFERENCE_CODE SUBSTITUTES_PSEUDOREFERENCE_CODE SYSTEM_SETTINGS_PSEUDOREFERENCE_CODE UNITS_PSEUDOREFERENCE_CODE USERS_PSEUDOREFERENCE_CODE VIEWERS_PSEUDOREFERENCE_CODE ";
        const requisite_ISBCertificateType_values_constants = "CERTIFICATE_TYPE_ENCRYPT CERTIFICATE_TYPE_SIGN CERTIFICATE_TYPE_SIGN_AND_ENCRYPT ";
        const requisite_ISBEDocStorageType_values_constants = "STORAGE_TYPE_FILE STORAGE_TYPE_NAS_CIFS STORAGE_TYPE_SAPERION STORAGE_TYPE_SQL_SERVER ";
        const requisite_compType2_values_constants = "COMPTYPE2_REQUISITE_DOCUMENTS_VALUE COMPTYPE2_REQUISITE_TASKS_VALUE COMPTYPE2_REQUISITE_FOLDERS_VALUE COMPTYPE2_REQUISITE_REFERENCES_VALUE ";
        const requisite_name_constants = "SYSREQ_CODE SYSREQ_COMPTYPE2 SYSREQ_CONST_AVAILABLE_FOR_WEB SYSREQ_CONST_COMMON_CODE SYSREQ_CONST_COMMON_VALUE SYSREQ_CONST_FIRM_CODE SYSREQ_CONST_FIRM_STATUS SYSREQ_CONST_FIRM_VALUE SYSREQ_CONST_SERVER_STATUS SYSREQ_CONTENTS SYSREQ_DATE_OPEN SYSREQ_DATE_CLOSE SYSREQ_DESCRIPTION SYSREQ_DESCRIPTION_LOCALIZE_ID SYSREQ_DOUBLE SYSREQ_EDOC_ACCESS_TYPE SYSREQ_EDOC_AUTHOR SYSREQ_EDOC_CREATED SYSREQ_EDOC_DELEGATE_RIGHTS_REQUISITE_CODE SYSREQ_EDOC_EDITOR SYSREQ_EDOC_ENCODE_TYPE SYSREQ_EDOC_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_EXPORT_DATE SYSREQ_EDOC_EXPORTER SYSREQ_EDOC_KIND SYSREQ_EDOC_LIFE_STAGE_NAME SYSREQ_EDOC_LOCKED_FOR_SERVER_CODE SYSREQ_EDOC_MODIFIED SYSREQ_EDOC_NAME SYSREQ_EDOC_NOTE SYSREQ_EDOC_QUALIFIED_ID SYSREQ_EDOC_SESSION_KEY SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_NAME SYSREQ_EDOC_SESSION_KEY_ENCRYPTION_PLUGIN_VERSION SYSREQ_EDOC_SIGNATURE_TYPE SYSREQ_EDOC_SIGNED SYSREQ_EDOC_STORAGE SYSREQ_EDOC_STORAGES_ARCHIVE_STORAGE SYSREQ_EDOC_STORAGES_CHECK_RIGHTS SYSREQ_EDOC_STORAGES_COMPUTER_NAME SYSREQ_EDOC_STORAGES_EDIT_IN_STORAGE SYSREQ_EDOC_STORAGES_EXECUTIVE_STORAGE SYSREQ_EDOC_STORAGES_FUNCTION SYSREQ_EDOC_STORAGES_INITIALIZED SYSREQ_EDOC_STORAGES_LOCAL_PATH SYSREQ_EDOC_STORAGES_SAPERION_DATABASE_NAME SYSREQ_EDOC_STORAGES_SEARCH_BY_TEXT SYSREQ_EDOC_STORAGES_SERVER_NAME SYSREQ_EDOC_STORAGES_SHARED_SOURCE_NAME SYSREQ_EDOC_STORAGES_TYPE SYSREQ_EDOC_TEXT_MODIFIED SYSREQ_EDOC_TYPE_ACT_CODE SYSREQ_EDOC_TYPE_ACT_DESCRIPTION SYSREQ_EDOC_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE SYSREQ_EDOC_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_EDOC_TYPE_ACT_SECTION SYSREQ_EDOC_TYPE_ADD_PARAMS SYSREQ_EDOC_TYPE_COMMENT SYSREQ_EDOC_TYPE_EVENT_TEXT SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR SYSREQ_EDOC_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_EDOC_TYPE_NAME_LOCALIZE_ID SYSREQ_EDOC_TYPE_NUMERATION_METHOD SYSREQ_EDOC_TYPE_PSEUDO_REQUISITE_CODE SYSREQ_EDOC_TYPE_REQ_CODE SYSREQ_EDOC_TYPE_REQ_DESCRIPTION SYSREQ_EDOC_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_EDOC_TYPE_REQ_IS_LEADING SYSREQ_EDOC_TYPE_REQ_IS_REQUIRED SYSREQ_EDOC_TYPE_REQ_NUMBER SYSREQ_EDOC_TYPE_REQ_ON_CHANGE SYSREQ_EDOC_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_EDOC_TYPE_REQ_ON_SELECT SYSREQ_EDOC_TYPE_REQ_ON_SELECT_KIND SYSREQ_EDOC_TYPE_REQ_SECTION SYSREQ_EDOC_TYPE_VIEW_CARD SYSREQ_EDOC_TYPE_VIEW_CODE SYSREQ_EDOC_TYPE_VIEW_COMMENT SYSREQ_EDOC_TYPE_VIEW_IS_MAIN SYSREQ_EDOC_TYPE_VIEW_NAME SYSREQ_EDOC_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_EDOC_VERSION_AUTHOR SYSREQ_EDOC_VERSION_CRC SYSREQ_EDOC_VERSION_DATA SYSREQ_EDOC_VERSION_EDITOR SYSREQ_EDOC_VERSION_EXPORT_DATE SYSREQ_EDOC_VERSION_EXPORTER SYSREQ_EDOC_VERSION_HIDDEN SYSREQ_EDOC_VERSION_LIFE_STAGE SYSREQ_EDOC_VERSION_MODIFIED SYSREQ_EDOC_VERSION_NOTE SYSREQ_EDOC_VERSION_SIGNATURE_TYPE SYSREQ_EDOC_VERSION_SIGNED SYSREQ_EDOC_VERSION_SIZE SYSREQ_EDOC_VERSION_SOURCE SYSREQ_EDOC_VERSION_TEXT_MODIFIED SYSREQ_EDOCKIND_DEFAULT_VERSION_STATE_CODE SYSREQ_FOLDER_KIND SYSREQ_FUNC_CATEGORY SYSREQ_FUNC_COMMENT SYSREQ_FUNC_GROUP SYSREQ_FUNC_GROUP_COMMENT SYSREQ_FUNC_GROUP_NUMBER SYSREQ_FUNC_HELP SYSREQ_FUNC_PARAM_DEF_VALUE SYSREQ_FUNC_PARAM_IDENT SYSREQ_FUNC_PARAM_NUMBER SYSREQ_FUNC_PARAM_TYPE SYSREQ_FUNC_TEXT SYSREQ_GROUP_CATEGORY SYSREQ_ID SYSREQ_LAST_UPDATE SYSREQ_LEADER_REFERENCE SYSREQ_LINE_NUMBER SYSREQ_MAIN_RECORD_ID SYSREQ_NAME SYSREQ_NAME_LOCALIZE_ID SYSREQ_NOTE SYSREQ_ORIGINAL_RECORD SYSREQ_OUR_FIRM SYSREQ_PROFILING_SETTINGS_BATCH_LOGING SYSREQ_PROFILING_SETTINGS_BATCH_SIZE SYSREQ_PROFILING_SETTINGS_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_SQL_PROFILING_ENABLED SYSREQ_PROFILING_SETTINGS_START_LOGGED SYSREQ_RECORD_STATUS SYSREQ_REF_REQ_FIELD_NAME SYSREQ_REF_REQ_FORMAT SYSREQ_REF_REQ_GENERATED SYSREQ_REF_REQ_LENGTH SYSREQ_REF_REQ_PRECISION SYSREQ_REF_REQ_REFERENCE SYSREQ_REF_REQ_SECTION SYSREQ_REF_REQ_STORED SYSREQ_REF_REQ_TOKENS SYSREQ_REF_REQ_TYPE SYSREQ_REF_REQ_VIEW SYSREQ_REF_TYPE_ACT_CODE SYSREQ_REF_TYPE_ACT_DESCRIPTION SYSREQ_REF_TYPE_ACT_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_ACT_ON_EXECUTE SYSREQ_REF_TYPE_ACT_ON_EXECUTE_EXISTS SYSREQ_REF_TYPE_ACT_SECTION SYSREQ_REF_TYPE_ADD_PARAMS SYSREQ_REF_TYPE_COMMENT SYSREQ_REF_TYPE_COMMON_SETTINGS SYSREQ_REF_TYPE_DISPLAY_REQUISITE_NAME SYSREQ_REF_TYPE_EVENT_TEXT SYSREQ_REF_TYPE_MAIN_LEADING_REF SYSREQ_REF_TYPE_NAME_IN_SINGULAR SYSREQ_REF_TYPE_NAME_IN_SINGULAR_LOCALIZE_ID SYSREQ_REF_TYPE_NAME_LOCALIZE_ID SYSREQ_REF_TYPE_NUMERATION_METHOD SYSREQ_REF_TYPE_REQ_CODE SYSREQ_REF_TYPE_REQ_DESCRIPTION SYSREQ_REF_TYPE_REQ_DESCRIPTION_LOCALIZE_ID SYSREQ_REF_TYPE_REQ_IS_CONTROL SYSREQ_REF_TYPE_REQ_IS_FILTER SYSREQ_REF_TYPE_REQ_IS_LEADING SYSREQ_REF_TYPE_REQ_IS_REQUIRED SYSREQ_REF_TYPE_REQ_NUMBER SYSREQ_REF_TYPE_REQ_ON_CHANGE SYSREQ_REF_TYPE_REQ_ON_CHANGE_EXISTS SYSREQ_REF_TYPE_REQ_ON_SELECT SYSREQ_REF_TYPE_REQ_ON_SELECT_KIND SYSREQ_REF_TYPE_REQ_SECTION SYSREQ_REF_TYPE_VIEW_CARD SYSREQ_REF_TYPE_VIEW_CODE SYSREQ_REF_TYPE_VIEW_COMMENT SYSREQ_REF_TYPE_VIEW_IS_MAIN SYSREQ_REF_TYPE_VIEW_NAME SYSREQ_REF_TYPE_VIEW_NAME_LOCALIZE_ID SYSREQ_REFERENCE_TYPE_ID SYSREQ_STATE SYSREQ_STAT\u0415 SYSREQ_SYSTEM_SETTINGS_VALUE SYSREQ_TYPE SYSREQ_UNIT SYSREQ_UNIT_ID SYSREQ_USER_GROUPS_GROUP_FULL_NAME SYSREQ_USER_GROUPS_GROUP_NAME SYSREQ_USER_GROUPS_GROUP_SERVER_NAME SYSREQ_USERS_ACCESS_RIGHTS SYSREQ_USERS_AUTHENTICATION SYSREQ_USERS_CATEGORY SYSREQ_USERS_COMPONENT SYSREQ_USERS_COMPONENT_USER_IS_PUBLIC SYSREQ_USERS_DOMAIN SYSREQ_USERS_FULL_USER_NAME SYSREQ_USERS_GROUP SYSREQ_USERS_IS_MAIN_SERVER SYSREQ_USERS_LOGIN SYSREQ_USERS_REFERENCE_USER_IS_PUBLIC SYSREQ_USERS_STATUS SYSREQ_USERS_USER_CERTIFICATE SYSREQ_USERS_USER_CERTIFICATE_INFO SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_NAME SYSREQ_USERS_USER_CERTIFICATE_PLUGIN_VERSION SYSREQ_USERS_USER_CERTIFICATE_STATE SYSREQ_USERS_USER_CERTIFICATE_SUBJECT_NAME SYSREQ_USERS_USER_CERTIFICATE_THUMBPRINT SYSREQ_USERS_USER_DEFAULT_CERTIFICATE SYSREQ_USERS_USER_DESCRIPTION SYSREQ_USERS_USER_GLOBAL_NAME SYSREQ_USERS_USER_LOGIN SYSREQ_USERS_USER_MAIN_SERVER SYSREQ_USERS_USER_TYPE SYSREQ_WORK_RULES_FOLDER_ID ";
        const result_constants = "RESULT_VAR_NAME RESULT_VAR_NAME_ENG ";
        const rule_identification_constants = "AUTO_NUMERATION_RULE_ID CANT_CHANGE_ID_REQUISITE_RULE_ID CANT_CHANGE_OURFIRM_REQUISITE_RULE_ID CHECK_CHANGING_REFERENCE_RECORD_USE_RULE_ID CHECK_CODE_REQUISITE_RULE_ID CHECK_DELETING_REFERENCE_RECORD_USE_RULE_ID CHECK_FILTRATER_CHANGES_RULE_ID CHECK_RECORD_INTERVAL_RULE_ID CHECK_REFERENCE_INTERVAL_RULE_ID CHECK_REQUIRED_DATA_FULLNESS_RULE_ID CHECK_REQUIRED_REQUISITES_FULLNESS_RULE_ID MAKE_RECORD_UNRATIFIED_RULE_ID RESTORE_AUTO_NUMERATION_RULE_ID SET_FIRM_CONTEXT_FROM_RECORD_RULE_ID SET_FIRST_RECORD_IN_LIST_FORM_RULE_ID SET_IDSPS_VALUE_RULE_ID SET_NEXT_CODE_VALUE_RULE_ID SET_OURFIRM_BOUNDS_RULE_ID SET_OURFIRM_REQUISITE_RULE_ID ";
        const script_block_properties_constants = "SCRIPT_BLOCK_AFTER_FINISH_EVENT SCRIPT_BLOCK_BEFORE_START_EVENT SCRIPT_BLOCK_EXECUTION_RESULTS_PROPERTY SCRIPT_BLOCK_NAME_PROPERTY SCRIPT_BLOCK_SCRIPT_PROPERTY ";
        const subtask_block_properties_constants = "SUBTASK_BLOCK_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_AFTER_FINISH_EVENT SUBTASK_BLOCK_ASSIGN_PARAMS_EVENT SUBTASK_BLOCK_ATTACHMENTS_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_GROUP_PROPERTY SUBTASK_BLOCK_ATTACHMENTS_RIGHTS_TYPE_PROPERTY SUBTASK_BLOCK_BEFORE_START_EVENT SUBTASK_BLOCK_CREATED_TASK_PROPERTY SUBTASK_BLOCK_CREATION_EVENT SUBTASK_BLOCK_DEADLINE_PROPERTY SUBTASK_BLOCK_IMPORTANCE_PROPERTY SUBTASK_BLOCK_INITIATOR_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_ABORT_DEADLINE_PROPERTY SUBTASK_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY SUBTASK_BLOCK_JOBS_TYPE_PROPERTY SUBTASK_BLOCK_NAME_PROPERTY SUBTASK_BLOCK_PARALLEL_ROUTE_PROPERTY SUBTASK_BLOCK_PERFORMERS_PROPERTY SUBTASK_BLOCK_RELATIVE_ABORT_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY SUBTASK_BLOCK_REQUIRE_SIGN_PROPERTY SUBTASK_BLOCK_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_START_EVENT SUBTASK_BLOCK_STEP_CONTROL_PROPERTY SUBTASK_BLOCK_SUBJECT_PROPERTY SUBTASK_BLOCK_TASK_CONTROL_PROPERTY SUBTASK_BLOCK_TEXT_PROPERTY SUBTASK_BLOCK_UNLOCK_ATTACHMENTS_ON_STOP_PROPERTY SUBTASK_BLOCK_USE_STANDARD_ROUTE_PROPERTY SUBTASK_BLOCK_WAIT_FOR_TASK_COMPLETE_PROPERTY ";
        const system_component_constants = "SYSCOMP_CONTROL_JOBS SYSCOMP_FOLDERS SYSCOMP_JOBS SYSCOMP_NOTICES SYSCOMP_TASKS ";
        const system_dialogs_constants = "SYSDLG_CREATE_EDOCUMENT SYSDLG_CREATE_EDOCUMENT_VERSION SYSDLG_CURRENT_PERIOD SYSDLG_EDIT_FUNCTION_HELP SYSDLG_EDOCUMENT_KINDS_FOR_TEMPLATE SYSDLG_EXPORT_MULTIPLE_EDOCUMENTS SYSDLG_EXPORT_SINGLE_EDOCUMENT SYSDLG_IMPORT_EDOCUMENT SYSDLG_MULTIPLE_SELECT SYSDLG_SETUP_ACCESS_RIGHTS SYSDLG_SETUP_DEFAULT_RIGHTS SYSDLG_SETUP_FILTER_CONDITION SYSDLG_SETUP_SIGN_RIGHTS SYSDLG_SETUP_TASK_OBSERVERS SYSDLG_SETUP_TASK_ROUTE SYSDLG_SETUP_USERS_LIST SYSDLG_SIGN_EDOCUMENT SYSDLG_SIGN_MULTIPLE_EDOCUMENTS ";
        const system_reference_names_constants = "SYSREF_ACCESS_RIGHTS_TYPES SYSREF_ADMINISTRATION_HISTORY SYSREF_ALL_AVAILABLE_COMPONENTS SYSREF_ALL_AVAILABLE_PRIVILEGES SYSREF_ALL_REPLICATING_COMPONENTS SYSREF_AVAILABLE_DEVELOPERS_COMPONENTS SYSREF_CALENDAR_EVENTS SYSREF_COMPONENT_TOKEN_HISTORY SYSREF_COMPONENT_TOKENS SYSREF_COMPONENTS SYSREF_CONSTANTS SYSREF_DATA_RECEIVE_PROTOCOL SYSREF_DATA_SEND_PROTOCOL SYSREF_DIALOGS SYSREF_DIALOGS_REQUISITES SYSREF_EDITORS SYSREF_EDOC_CARDS SYSREF_EDOC_TYPES SYSREF_EDOCUMENT_CARD_REQUISITES SYSREF_EDOCUMENT_CARD_TYPES SYSREF_EDOCUMENT_CARD_TYPES_REFERENCE SYSREF_EDOCUMENT_CARDS SYSREF_EDOCUMENT_HISTORY SYSREF_EDOCUMENT_KINDS SYSREF_EDOCUMENT_REQUISITES SYSREF_EDOCUMENT_SIGNATURES SYSREF_EDOCUMENT_TEMPLATES SYSREF_EDOCUMENT_TEXT_STORAGES SYSREF_EDOCUMENT_VIEWS SYSREF_FILTERER_SETUP_CONFLICTS SYSREF_FILTRATER_SETTING_CONFLICTS SYSREF_FOLDER_HISTORY SYSREF_FOLDERS SYSREF_FUNCTION_GROUPS SYSREF_FUNCTION_PARAMS SYSREF_FUNCTIONS SYSREF_JOB_HISTORY SYSREF_LINKS SYSREF_LOCALIZATION_DICTIONARY SYSREF_LOCALIZATION_LANGUAGES SYSREF_MODULES SYSREF_PRIVILEGES SYSREF_RECORD_HISTORY SYSREF_REFERENCE_REQUISITES SYSREF_REFERENCE_TYPE_VIEWS SYSREF_REFERENCE_TYPES SYSREF_REFERENCES SYSREF_REFERENCES_REQUISITES SYSREF_REMOTE_SERVERS SYSREF_REPLICATION_SESSIONS_LOG SYSREF_REPLICATION_SESSIONS_PROTOCOL SYSREF_REPORTS SYSREF_ROLES SYSREF_ROUTE_BLOCK_GROUPS SYSREF_ROUTE_BLOCKS SYSREF_SCRIPTS SYSREF_SEARCHES SYSREF_SERVER_EVENTS SYSREF_SERVER_EVENTS_HISTORY SYSREF_STANDARD_ROUTE_GROUPS SYSREF_STANDARD_ROUTES SYSREF_STATUSES SYSREF_SYSTEM_SETTINGS SYSREF_TASK_HISTORY SYSREF_TASK_KIND_GROUPS SYSREF_TASK_KINDS SYSREF_TASK_RIGHTS SYSREF_TASK_SIGNATURES SYSREF_TASKS SYSREF_UNITS SYSREF_USER_GROUPS SYSREF_USER_GROUPS_REFERENCE SYSREF_USER_SUBSTITUTION SYSREF_USERS SYSREF_USERS_REFERENCE SYSREF_VIEWERS SYSREF_WORKING_TIME_CALENDARS ";
        const table_name_constants = "ACCESS_RIGHTS_TABLE_NAME EDMS_ACCESS_TABLE_NAME EDOC_TYPES_TABLE_NAME ";
        const test_constants = "TEST_DEV_DB_NAME TEST_DEV_SYSTEM_CODE TEST_EDMS_DB_NAME TEST_EDMS_MAIN_CODE TEST_EDMS_MAIN_DB_NAME TEST_EDMS_SECOND_CODE TEST_EDMS_SECOND_DB_NAME TEST_EDMS_SYSTEM_CODE TEST_ISB5_MAIN_CODE TEST_ISB5_SECOND_CODE TEST_SQL_SERVER_2005_NAME TEST_SQL_SERVER_NAME ";
        const using_the_dialog_windows_constants = "ATTENTION_CAPTION cbsCommandLinks cbsDefault CONFIRMATION_CAPTION ERROR_CAPTION INFORMATION_CAPTION mrCancel mrOk ";
        const using_the_document_constants = "EDOC_VERSION_ACTIVE_STAGE_CODE EDOC_VERSION_DESIGN_STAGE_CODE EDOC_VERSION_OBSOLETE_STAGE_CODE ";
        const using_the_EA_and_encryption_constants = "cpDataEnciphermentEnabled cpDigitalSignatureEnabled cpID cpIssuer cpPluginVersion cpSerial cpSubjectName cpSubjSimpleName cpValidFromDate cpValidToDate ";
        const using_the_ISBL_editor_constants = "ISBL_SYNTAX NO_SYNTAX XML_SYNTAX ";
        const wait_block_properties_constants = "WAIT_BLOCK_AFTER_FINISH_EVENT WAIT_BLOCK_BEFORE_START_EVENT WAIT_BLOCK_DEADLINE_PROPERTY WAIT_BLOCK_IS_RELATIVE_DEADLINE_PROPERTY WAIT_BLOCK_NAME_PROPERTY WAIT_BLOCK_RELATIVE_DEADLINE_TYPE_PROPERTY ";
        const sysres_common_constants = "SYSRES_COMMON SYSRES_CONST SYSRES_MBFUNC SYSRES_SBDATA SYSRES_SBGUI SYSRES_SBINTF SYSRES_SBREFDSC SYSRES_SQLERRORS SYSRES_SYSCOMP ";
        const CONSTANTS = sysres_constants + base_constants + base_group_name_constants + decision_block_properties_constants + file_extension_constants + job_block_properties_constants + language_code_constants + launching_external_applications_constants + link_kind_constants + lock_type_constants + monitor_block_properties_constants + notice_block_properties_constants + object_events_constants + object_params_constants + other_constants + privileges_constants + pseudoreference_code_constants + requisite_ISBCertificateType_values_constants + requisite_ISBEDocStorageType_values_constants + requisite_compType2_values_constants + requisite_name_constants + result_constants + rule_identification_constants + script_block_properties_constants + subtask_block_properties_constants + system_component_constants + system_dialogs_constants + system_reference_names_constants + table_name_constants + test_constants + using_the_dialog_windows_constants + using_the_document_constants + using_the_EA_and_encryption_constants + using_the_ISBL_editor_constants + wait_block_properties_constants + sysres_common_constants;
        const TAccountType = "atUser atGroup atRole ";
        const TActionEnabledMode = "aemEnabledAlways aemDisabledAlways aemEnabledOnBrowse aemEnabledOnEdit aemDisabledOnBrowseEmpty ";
        const TAddPosition = "apBegin apEnd ";
        const TAlignment = "alLeft alRight ";
        const TAreaShowMode = "asmNever asmNoButCustomize asmAsLastTime asmYesButCustomize asmAlways ";
        const TCertificateInvalidationReason = "cirCommon cirRevoked ";
        const TCertificateType = "ctSignature ctEncode ctSignatureEncode ";
        const TCheckListBoxItemState = "clbUnchecked clbChecked clbGrayed ";
        const TCloseOnEsc = "ceISB ceAlways ceNever ";
        const TCompType = "ctDocument ctReference ctScript ctUnknown ctReport ctDialog ctFunction ctFolder ctEDocument ctTask ctJob ctNotice ctControlJob ";
        const TConditionFormat = "cfInternal cfDisplay ";
        const TConnectionIntent = "ciUnspecified ciWrite ciRead ";
        const TContentKind = "ckFolder ckEDocument ckTask ckJob ckComponentToken ckAny ckReference ckScript ckReport ckDialog ";
        const TControlType = "ctISBLEditor ctBevel ctButton ctCheckListBox ctComboBox ctComboEdit ctGrid ctDBCheckBox ctDBComboBox ctDBEdit ctDBEllipsis ctDBMemo ctDBNavigator ctDBRadioGroup ctDBStatusLabel ctEdit ctGroupBox ctInplaceHint ctMemo ctPanel ctListBox ctRadioButton ctRichEdit ctTabSheet ctWebBrowser ctImage ctHyperLink ctLabel ctDBMultiEllipsis ctRibbon ctRichView ctInnerPanel ctPanelGroup ctBitButton ";
        const TCriterionContentType = "cctDate cctInteger cctNumeric cctPick cctReference cctString cctText ";
        const TCultureType = "cltInternal cltPrimary cltGUI ";
        const TDataSetEventType = "dseBeforeOpen dseAfterOpen dseBeforeClose dseAfterClose dseOnValidDelete dseBeforeDelete dseAfterDelete dseAfterDeleteOutOfTransaction dseOnDeleteError dseBeforeInsert dseAfterInsert dseOnValidUpdate dseBeforeUpdate dseOnUpdateRatifiedRecord dseAfterUpdate dseAfterUpdateOutOfTransaction dseOnUpdateError dseAfterScroll dseOnOpenRecord dseOnCloseRecord dseBeforeCancel dseAfterCancel dseOnUpdateDeadlockError dseBeforeDetailUpdate dseOnPrepareUpdate dseOnAnyRequisiteChange ";
        const TDataSetState = "dssEdit dssInsert dssBrowse dssInActive ";
        const TDateFormatType = "dftDate dftShortDate dftDateTime dftTimeStamp ";
        const TDateOffsetType = "dotDays dotHours dotMinutes dotSeconds ";
        const TDateTimeKind = "dtkndLocal dtkndUTC ";
        const TDeaAccessRights = "arNone arView arEdit arFull ";
        const TDocumentDefaultAction = "ddaView ddaEdit ";
        const TEditMode = "emLock emEdit emSign emExportWithLock emImportWithUnlock emChangeVersionNote emOpenForModify emChangeLifeStage emDelete emCreateVersion emImport emUnlockExportedWithLock emStart emAbort emReInit emMarkAsReaded emMarkAsUnreaded emPerform emAccept emResume emChangeRights emEditRoute emEditObserver emRecoveryFromLocalCopy emChangeWorkAccessType emChangeEncodeTypeToCertificate emChangeEncodeTypeToPassword emChangeEncodeTypeToNone emChangeEncodeTypeToCertificatePassword emChangeStandardRoute emGetText emOpenForView emMoveToStorage emCreateObject emChangeVersionHidden emDeleteVersion emChangeLifeCycleStage emApprovingSign emExport emContinue emLockFromEdit emUnLockForEdit emLockForServer emUnlockFromServer emDelegateAccessRights emReEncode ";
        const TEditorCloseObservType = "ecotFile ecotProcess ";
        const TEdmsApplicationAction = "eaGet eaCopy eaCreate eaCreateStandardRoute ";
        const TEDocumentLockType = "edltAll edltNothing edltQuery ";
        const TEDocumentStepShowMode = "essmText essmCard ";
        const TEDocumentStepVersionType = "esvtLast esvtLastActive esvtSpecified ";
        const TEDocumentStorageFunction = "edsfExecutive edsfArchive ";
        const TEDocumentStorageType = "edstSQLServer edstFile ";
        const TEDocumentVersionSourceType = "edvstNone edvstEDocumentVersionCopy edvstFile edvstTemplate edvstScannedFile ";
        const TEDocumentVersionState = "vsDefault vsDesign vsActive vsObsolete ";
        const TEncodeType = "etNone etCertificate etPassword etCertificatePassword ";
        const TExceptionCategory = "ecException ecWarning ecInformation ";
        const TExportedSignaturesType = "estAll estApprovingOnly ";
        const TExportedVersionType = "evtLast evtLastActive evtQuery ";
        const TFieldDataType = "fdtString fdtNumeric fdtInteger fdtDate fdtText fdtUnknown fdtWideString fdtLargeInteger ";
        const TFolderType = "ftInbox ftOutbox ftFavorites ftCommonFolder ftUserFolder ftComponents ftQuickLaunch ftShortcuts ftSearch ";
        const TGridRowHeight = "grhAuto grhX1 grhX2 grhX3 ";
        const THyperlinkType = "hltText hltRTF hltHTML ";
        const TImageFileFormat = "iffBMP iffJPEG iffMultiPageTIFF iffSinglePageTIFF iffTIFF iffPNG ";
        const TImageMode = "im8bGrayscale im24bRGB im1bMonochrome ";
        const TImageType = "itBMP itJPEG itWMF itPNG ";
        const TInplaceHintKind = "ikhInformation ikhWarning ikhError ikhNoIcon ";
        const TISBLContext = "icUnknown icScript icFunction icIntegratedReport icAnalyticReport icDataSetEventHandler icActionHandler icFormEventHandler icLookUpEventHandler icRequisiteChangeEventHandler icBeforeSearchEventHandler icRoleCalculation icSelectRouteEventHandler icBlockPropertyCalculation icBlockQueryParamsEventHandler icChangeSearchResultEventHandler icBlockEventHandler icSubTaskInitEventHandler icEDocDataSetEventHandler icEDocLookUpEventHandler icEDocActionHandler icEDocFormEventHandler icEDocRequisiteChangeEventHandler icStructuredConversionRule icStructuredConversionEventBefore icStructuredConversionEventAfter icWizardEventHandler icWizardFinishEventHandler icWizardStepEventHandler icWizardStepFinishEventHandler icWizardActionEnableEventHandler icWizardActionExecuteEventHandler icCreateJobsHandler icCreateNoticesHandler icBeforeLookUpEventHandler icAfterLookUpEventHandler icTaskAbortEventHandler icWorkflowBlockActionHandler icDialogDataSetEventHandler icDialogActionHandler icDialogLookUpEventHandler icDialogRequisiteChangeEventHandler icDialogFormEventHandler icDialogValidCloseEventHandler icBlockFormEventHandler icTaskFormEventHandler icReferenceMethod icEDocMethod icDialogMethod icProcessMessageHandler ";
        const TItemShow = "isShow isHide isByUserSettings ";
        const TJobKind = "jkJob jkNotice jkControlJob ";
        const TJoinType = "jtInner jtLeft jtRight jtFull jtCross ";
        const TLabelPos = "lbpAbove lbpBelow lbpLeft lbpRight ";
        const TLicensingType = "eltPerConnection eltPerUser ";
        const TLifeCycleStageFontColor = "sfcUndefined sfcBlack sfcGreen sfcRed sfcBlue sfcOrange sfcLilac ";
        const TLifeCycleStageFontStyle = "sfsItalic sfsStrikeout sfsNormal ";
        const TLockableDevelopmentComponentType = "ldctStandardRoute ldctWizard ldctScript ldctFunction ldctRouteBlock ldctIntegratedReport ldctAnalyticReport ldctReferenceType ldctEDocumentType ldctDialog ldctServerEvents ";
        const TMaxRecordCountRestrictionType = "mrcrtNone mrcrtUser mrcrtMaximal mrcrtCustom ";
        const TRangeValueType = "vtEqual vtGreaterOrEqual vtLessOrEqual vtRange ";
        const TRelativeDate = "rdYesterday rdToday rdTomorrow rdThisWeek rdThisMonth rdThisYear rdNextMonth rdNextWeek rdLastWeek rdLastMonth ";
        const TReportDestination = "rdWindow rdFile rdPrinter ";
        const TReqDataType = "rdtString rdtNumeric rdtInteger rdtDate rdtReference rdtAccount rdtText rdtPick rdtUnknown rdtLargeInteger rdtDocument ";
        const TRequisiteEventType = "reOnChange reOnChangeValues ";
        const TSBTimeType = "ttGlobal ttLocal ttUser ttSystem ";
        const TSearchShowMode = "ssmBrowse ssmSelect ssmMultiSelect ssmBrowseModal ";
        const TSelectMode = "smSelect smLike smCard ";
        const TSignatureType = "stNone stAuthenticating stApproving ";
        const TSignerContentType = "sctString sctStream ";
        const TStringsSortType = "sstAnsiSort sstNaturalSort ";
        const TStringValueType = "svtEqual svtContain ";
        const TStructuredObjectAttributeType = "soatString soatNumeric soatInteger soatDatetime soatReferenceRecord soatText soatPick soatBoolean soatEDocument soatAccount soatIntegerCollection soatNumericCollection soatStringCollection soatPickCollection soatDatetimeCollection soatBooleanCollection soatReferenceRecordCollection soatEDocumentCollection soatAccountCollection soatContents soatUnknown ";
        const TTaskAbortReason = "tarAbortByUser tarAbortByWorkflowException ";
        const TTextValueType = "tvtAllWords tvtExactPhrase tvtAnyWord ";
        const TUserObjectStatus = "usNone usCompleted usRedSquare usBlueSquare usYellowSquare usGreenSquare usOrangeSquare usPurpleSquare usFollowUp ";
        const TUserType = "utUnknown utUser utDeveloper utAdministrator utSystemDeveloper utDisconnected ";
        const TValuesBuildType = "btAnd btDetailAnd btOr btNotOr btOnly ";
        const TViewMode = "vmView vmSelect vmNavigation ";
        const TViewSelectionMode = "vsmSingle vsmMultiple vsmMultipleCheck vsmNoSelection ";
        const TWizardActionType = "wfatPrevious wfatNext wfatCancel wfatFinish ";
        const TWizardFormElementProperty = "wfepUndefined wfepText3 wfepText6 wfepText9 wfepSpinEdit wfepDropDown wfepRadioGroup wfepFlag wfepText12 wfepText15 wfepText18 wfepText21 wfepText24 wfepText27 wfepText30 wfepRadioGroupColumn1 wfepRadioGroupColumn2 wfepRadioGroupColumn3 ";
        const TWizardFormElementType = "wfetQueryParameter wfetText wfetDelimiter wfetLabel ";
        const TWizardParamType = "wptString wptInteger wptNumeric wptBoolean wptDateTime wptPick wptText wptUser wptUserList wptEDocumentInfo wptEDocumentInfoList wptReferenceRecordInfo wptReferenceRecordInfoList wptFolderInfo wptTaskInfo wptContents wptFileName wptDate ";
        const TWizardStepResult = "wsrComplete wsrGoNext wsrGoPrevious wsrCustom wsrCancel wsrGoFinal ";
        const TWizardStepType = "wstForm wstEDocument wstTaskCard wstReferenceRecordCard wstFinal ";
        const TWorkAccessType = "waAll waPerformers waManual ";
        const TWorkflowBlockType = "wsbStart wsbFinish wsbNotice wsbStep wsbDecision wsbWait wsbMonitor wsbScript wsbConnector wsbSubTask wsbLifeCycleStage wsbPause ";
        const TWorkflowDataType = "wdtInteger wdtFloat wdtString wdtPick wdtDateTime wdtBoolean wdtTask wdtJob wdtFolder wdtEDocument wdtReferenceRecord wdtUser wdtGroup wdtRole wdtIntegerCollection wdtFloatCollection wdtStringCollection wdtPickCollection wdtDateTimeCollection wdtBooleanCollection wdtTaskCollection wdtJobCollection wdtFolderCollection wdtEDocumentCollection wdtReferenceRecordCollection wdtUserCollection wdtGroupCollection wdtRoleCollection wdtContents wdtUserList wdtSearchDescription wdtDeadLine wdtPickSet wdtAccountCollection ";
        const TWorkImportance = "wiLow wiNormal wiHigh ";
        const TWorkRouteType = "wrtSoft wrtHard ";
        const TWorkState = "wsInit wsRunning wsDone wsControlled wsAborted wsContinued ";
        const TWorkTextBuildingMode = "wtmFull wtmFromCurrent wtmOnlyCurrent ";
        const ENUMS = TAccountType + TActionEnabledMode + TAddPosition + TAlignment + TAreaShowMode + TCertificateInvalidationReason + TCertificateType + TCheckListBoxItemState + TCloseOnEsc + TCompType + TConditionFormat + TConnectionIntent + TContentKind + TControlType + TCriterionContentType + TCultureType + TDataSetEventType + TDataSetState + TDateFormatType + TDateOffsetType + TDateTimeKind + TDeaAccessRights + TDocumentDefaultAction + TEditMode + TEditorCloseObservType + TEdmsApplicationAction + TEDocumentLockType + TEDocumentStepShowMode + TEDocumentStepVersionType + TEDocumentStorageFunction + TEDocumentStorageType + TEDocumentVersionSourceType + TEDocumentVersionState + TEncodeType + TExceptionCategory + TExportedSignaturesType + TExportedVersionType + TFieldDataType + TFolderType + TGridRowHeight + THyperlinkType + TImageFileFormat + TImageMode + TImageType + TInplaceHintKind + TISBLContext + TItemShow + TJobKind + TJoinType + TLabelPos + TLicensingType + TLifeCycleStageFontColor + TLifeCycleStageFontStyle + TLockableDevelopmentComponentType + TMaxRecordCountRestrictionType + TRangeValueType + TRelativeDate + TReportDestination + TReqDataType + TRequisiteEventType + TSBTimeType + TSearchShowMode + TSelectMode + TSignatureType + TSignerContentType + TStringsSortType + TStringValueType + TStructuredObjectAttributeType + TTaskAbortReason + TTextValueType + TUserObjectStatus + TUserType + TValuesBuildType + TViewMode + TViewSelectionMode + TWizardActionType + TWizardFormElementProperty + TWizardFormElementType + TWizardParamType + TWizardStepResult + TWizardStepType + TWorkAccessType + TWorkflowBlockType + TWorkflowDataType + TWorkImportance + TWorkRouteType + TWorkState + TWorkTextBuildingMode;
        const system_functions = "AddSubString AdjustLineBreaks AmountInWords Analysis ArrayDimCount ArrayHighBound ArrayLowBound ArrayOf ArrayReDim Assert Assigned BeginOfMonth BeginOfPeriod BuildProfilingOperationAnalysis CallProcedure CanReadFile CArrayElement CDataSetRequisite ChangeDate ChangeReferenceDataset Char CharPos CheckParam CheckParamValue CompareStrings ConstantExists ControlState ConvertDateStr Copy CopyFile CreateArray CreateCachedReference CreateConnection CreateDialog CreateDualListDialog CreateEditor CreateException CreateFile CreateFolderDialog CreateInputDialog CreateLinkFile CreateList CreateLock CreateMemoryDataSet CreateObject CreateOpenDialog CreateProgress CreateQuery CreateReference CreateReport CreateSaveDialog CreateScript CreateSQLPivotFunction CreateStringList CreateTreeListSelectDialog CSelectSQL CSQL CSubString CurrentUserID CurrentUserName CurrentVersion DataSetLocateEx DateDiff DateTimeDiff DateToStr DayOfWeek DeleteFile DirectoryExists DisableCheckAccessRights DisableCheckFullShowingRestriction DisableMassTaskSendingRestrictions DropTable DupeString EditText EnableCheckAccessRights EnableCheckFullShowingRestriction EnableMassTaskSendingRestrictions EndOfMonth EndOfPeriod ExceptionExists ExceptionsOff ExceptionsOn Execute ExecuteProcess Exit ExpandEnvironmentVariables ExtractFileDrive ExtractFileExt ExtractFileName ExtractFilePath ExtractParams FileExists FileSize FindFile FindSubString FirmContext ForceDirectories Format FormatDate FormatNumeric FormatSQLDate FormatString FreeException GetComponent GetComponentLaunchParam GetConstant GetLastException GetReferenceRecord GetRefTypeByRefID GetTableID GetTempFolder IfThen In IndexOf InputDialog InputDialogEx InteractiveMode IsFileLocked IsGraphicFile IsNumeric Length LoadString LoadStringFmt LocalTimeToUTC LowerCase Max MessageBox MessageBoxEx MimeDecodeBinary MimeDecodeString MimeEncodeBinary MimeEncodeString Min MoneyInWords MoveFile NewID Now OpenFile Ord Precision Raise ReadCertificateFromFile ReadFile ReferenceCodeByID ReferenceNumber ReferenceRequisiteMode ReferenceRequisiteValue RegionDateSettings RegionNumberSettings RegionTimeSettings RegRead RegWrite RenameFile Replace Round SelectServerCode SelectSQL ServerDateTime SetConstant SetManagedFolderFieldsState ShowConstantsInputDialog ShowMessage Sleep Split SQL SQL2XLSTAB SQLProfilingSendReport StrToDate SubString SubStringCount SystemSetting Time TimeDiff Today Transliterate Trim UpperCase UserStatus UTCToLocalTime ValidateXML VarIsClear VarIsEmpty VarIsNull WorkTimeDiff WriteFile WriteFileEx WriteObjectHistory \u0410\u043D\u0430\u043B\u0438\u0437 \u0411\u0430\u0437\u0430\u0414\u0430\u043D\u043D\u044B\u0445 \u0411\u043B\u043E\u043A\u0415\u0441\u0442\u044C \u0411\u043B\u043E\u043A\u0415\u0441\u0442\u044C\u0420\u0430\u0441\u0448 \u0411\u043B\u043E\u043A\u0418\u043D\u0444\u043E \u0411\u043B\u043E\u043A\u0421\u043D\u044F\u0442\u044C \u0411\u043B\u043E\u043A\u0421\u043D\u044F\u0442\u044C\u0420\u0430\u0441\u0448 \u0411\u043B\u043E\u043A\u0423\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C \u0412\u0432\u043E\u0434 \u0412\u0432\u043E\u0434\u041C\u0435\u043D\u044E \u0412\u0435\u0434\u0421 \u0412\u0435\u0434\u0421\u043F\u0440 \u0412\u0435\u0440\u0445\u043D\u044F\u044F\u0413\u0440\u0430\u043D\u0438\u0446\u0430\u041C\u0430\u0441\u0441\u0438\u0432\u0430 \u0412\u043D\u0435\u0448\u041F\u0440\u043E\u0433\u0440 \u0412\u043E\u0441\u0441\u0442 \u0412\u0440\u0435\u043C\u0435\u043D\u043D\u0430\u044F\u041F\u0430\u043F\u043A\u0430 \u0412\u0440\u0435\u043C\u044F \u0412\u044B\u0431\u043E\u0440SQL \u0412\u044B\u0431\u0440\u0430\u0442\u044C\u0417\u0430\u043F\u0438\u0441\u044C \u0412\u044B\u0434\u0435\u043B\u0438\u0442\u044C\u0421\u0442\u0440 \u0412\u044B\u0437\u0432\u0430\u0442\u044C \u0412\u044B\u043F\u043E\u043B\u043D\u0438\u0442\u044C \u0412\u044B\u043F\u041F\u0440\u043E\u0433\u0440 \u0413\u0440\u0430\u0444\u0438\u0447\u0435\u0441\u043A\u0438\u0439\u0424\u0430\u0439\u043B \u0413\u0440\u0443\u043F\u043F\u0430\u0414\u043E\u043F\u043E\u043B\u043D\u0438\u0442\u0435\u043B\u044C\u043D\u043E \u0414\u0430\u0442\u0430\u0412\u0440\u0435\u043C\u044F\u0421\u0435\u0440\u0432 \u0414\u0435\u043D\u044C\u041D\u0435\u0434\u0435\u043B\u0438 \u0414\u0438\u0430\u043B\u043E\u0433\u0414\u0430\u041D\u0435\u0442 \u0414\u043B\u0438\u043D\u0430\u0421\u0442\u0440 \u0414\u043E\u0431\u041F\u043E\u0434\u0441\u0442\u0440 \u0415\u041F\u0443\u0441\u0442\u043E \u0415\u0441\u043B\u0438\u0422\u043E \u0415\u0427\u0438\u0441\u043B\u043E \u0417\u0430\u043C\u041F\u043E\u0434\u0441\u0442\u0440 \u0417\u0430\u043F\u0438\u0441\u044C\u0421\u043F\u0440\u0430\u0432\u043E\u0447\u043D\u0438\u043A\u0430 \u0417\u043D\u0430\u0447\u041F\u043E\u043B\u044F\u0421\u043F\u0440 \u0418\u0414\u0422\u0438\u043F\u0421\u043F\u0440 \u0418\u0437\u0432\u043B\u0435\u0447\u044C\u0414\u0438\u0441\u043A \u0418\u0437\u0432\u043B\u0435\u0447\u044C\u0418\u043C\u044F\u0424\u0430\u0439\u043B\u0430 \u0418\u0437\u0432\u043B\u0435\u0447\u044C\u041F\u0443\u0442\u044C \u0418\u0437\u0432\u043B\u0435\u0447\u044C\u0420\u0430\u0441\u0448\u0438\u0440\u0435\u043D\u0438\u0435 \u0418\u0437\u043C\u0414\u0430\u0442 \u0418\u0437\u043C\u0435\u043D\u0438\u0442\u044C\u0420\u0430\u0437\u043C\u0435\u0440\u041C\u0430\u0441\u0441\u0438\u0432\u0430 \u0418\u0437\u043C\u0435\u0440\u0435\u043D\u0438\u0439\u041C\u0430\u0441\u0441\u0438\u0432\u0430 \u0418\u043C\u044F\u041E\u0440\u0433 \u0418\u043C\u044F\u041F\u043E\u043B\u044F\u0421\u043F\u0440 \u0418\u043D\u0434\u0435\u043A\u0441 \u0418\u043D\u0434\u0438\u043A\u0430\u0442\u043E\u0440\u0417\u0430\u043A\u0440\u044B\u0442\u044C \u0418\u043D\u0434\u0438\u043A\u0430\u0442\u043E\u0440\u041E\u0442\u043A\u0440\u044B\u0442\u044C \u0418\u043D\u0434\u0438\u043A\u0430\u0442\u043E\u0440\u0428\u0430\u0433 \u0418\u043D\u0442\u0435\u0440\u0430\u043A\u0442\u0438\u0432\u043D\u044B\u0439\u0420\u0435\u0436\u0438\u043C \u0418\u0442\u043E\u0433\u0422\u0431\u043B\u0421\u043F\u0440 \u041A\u043E\u0434\u0412\u0438\u0434\u0412\u0435\u0434\u0421\u043F\u0440 \u041A\u043E\u0434\u0412\u0438\u0434\u0421\u043F\u0440\u041F\u043E\u0418\u0414 \u041A\u043E\u0434\u041F\u043EAnalit \u041A\u043E\u0434\u0421\u0438\u043C\u0432\u043E\u043B\u0430 \u041A\u043E\u0434\u0421\u043F\u0440 \u041A\u043E\u043B\u041F\u043E\u0434\u0441\u0442\u0440 \u041A\u043E\u043B\u041F\u0440\u043E\u043F \u041A\u043E\u043D\u041C\u0435\u0441 \u041A\u043E\u043D\u0441\u0442 \u041A\u043E\u043D\u0441\u0442\u0415\u0441\u0442\u044C \u041A\u043E\u043D\u0441\u0442\u0417\u043D\u0430\u0447 \u041A\u043E\u043D\u0422\u0440\u0430\u043D \u041A\u043E\u043F\u0438\u0440\u043E\u0432\u0430\u0442\u044C\u0424\u0430\u0439\u043B \u041A\u043E\u043F\u0438\u044F\u0421\u0442\u0440 \u041A\u041F\u0435\u0440\u0438\u043E\u0434 \u041A\u0421\u0442\u0440\u0422\u0431\u043B\u0421\u043F\u0440 \u041C\u0430\u043A\u0441 \u041C\u0430\u043A\u0441\u0421\u0442\u0440\u0422\u0431\u043B\u0421\u043F\u0440 \u041C\u0430\u0441\u0441\u0438\u0432 \u041C\u0435\u043D\u044E \u041C\u0435\u043D\u044E\u0420\u0430\u0441\u0448 \u041C\u0438\u043D \u041D\u0430\u0431\u043E\u0440\u0414\u0430\u043D\u043D\u044B\u0445\u041D\u0430\u0439\u0442\u0438\u0420\u0430\u0441\u0448 \u041D\u0430\u0438\u043C\u0412\u0438\u0434\u0421\u043F\u0440 \u041D\u0430\u0438\u043C\u041F\u043EAnalit \u041D\u0430\u0438\u043C\u0421\u043F\u0440 \u041D\u0430\u0441\u0442\u0440\u043E\u0438\u0442\u044C\u041F\u0435\u0440\u0435\u0432\u043E\u0434\u044B\u0421\u0442\u0440\u043E\u043A \u041D\u0430\u0447\u041C\u0435\u0441 \u041D\u0430\u0447\u0422\u0440\u0430\u043D \u041D\u0438\u0436\u043D\u044F\u044F\u0413\u0440\u0430\u043D\u0438\u0446\u0430\u041C\u0430\u0441\u0441\u0438\u0432\u0430 \u041D\u043E\u043C\u0435\u0440\u0421\u043F\u0440 \u041D\u041F\u0435\u0440\u0438\u043E\u0434 \u041E\u043A\u043D\u043E \u041E\u043A\u0440 \u041E\u043A\u0440\u0443\u0436\u0435\u043D\u0438\u0435 \u041E\u0442\u043B\u0418\u043D\u0444\u0414\u043E\u0431\u0430\u0432\u0438\u0442\u044C \u041E\u0442\u043B\u0418\u043D\u0444\u0423\u0434\u0430\u043B\u0438\u0442\u044C \u041E\u0442\u0447\u0435\u0442 \u041E\u0442\u0447\u0435\u0442\u0410\u043D\u0430\u043B \u041E\u0442\u0447\u0435\u0442\u0418\u043D\u0442 \u041F\u0430\u043F\u043A\u0430\u0421\u0443\u0449\u0435\u0441\u0442\u0432\u0443\u0435\u0442 \u041F\u0430\u0443\u0437\u0430 \u041F\u0412\u044B\u0431\u043E\u0440SQL \u041F\u0435\u0440\u0435\u0438\u043C\u0435\u043D\u043E\u0432\u0430\u0442\u044C\u0424\u0430\u0439\u043B \u041F\u0435\u0440\u0435\u043C\u0435\u043D\u043D\u044B\u0435 \u041F\u0435\u0440\u0435\u043C\u0435\u0441\u0442\u0438\u0442\u044C\u0424\u0430\u0439\u043B \u041F\u043E\u0434\u0441\u0442\u0440 \u041F\u043E\u0438\u0441\u043A\u041F\u043E\u0434\u0441\u0442\u0440 \u041F\u043E\u0438\u0441\u043A\u0421\u0442\u0440 \u041F\u043E\u043B\u0443\u0447\u0438\u0442\u044C\u0418\u0414\u0422\u0430\u0431\u043B\u0438\u0446\u044B \u041F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u0414\u043E\u043F\u043E\u043B\u043D\u0438\u0442\u0435\u043B\u044C\u043D\u043E \u041F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u0418\u0414 \u041F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u0418\u043C\u044F \u041F\u043E\u043B\u044C\u0437\u043E\u0432\u0430\u0442\u0435\u043B\u044C\u0421\u0442\u0430\u0442\u0443\u0441 \u041F\u0440\u0435\u0440\u0432\u0430\u0442\u044C \u041F\u0440\u043E\u0432\u0435\u0440\u0438\u0442\u044C\u041F\u0430\u0440\u0430\u043C\u0435\u0442\u0440 \u041F\u0440\u043E\u0432\u0435\u0440\u0438\u0442\u044C\u041F\u0430\u0440\u0430\u043C\u0435\u0442\u0440\u0417\u043D\u0430\u0447 \u041F\u0440\u043E\u0432\u0435\u0440\u0438\u0442\u044C\u0423\u0441\u043B\u043E\u0432\u0438\u0435 \u0420\u0430\u0437\u0431\u0421\u0442\u0440 \u0420\u0430\u0437\u043D\u0412\u0440\u0435\u043C\u044F \u0420\u0430\u0437\u043D\u0414\u0430\u0442 \u0420\u0430\u0437\u043D\u0414\u0430\u0442\u0430\u0412\u0440\u0435\u043C\u044F \u0420\u0430\u0437\u043D\u0420\u0430\u0431\u0412\u0440\u0435\u043C\u044F \u0420\u0435\u0433\u0423\u0441\u0442\u0412\u0440\u0435\u043C \u0420\u0435\u0433\u0423\u0441\u0442\u0414\u0430\u0442 \u0420\u0435\u0433\u0423\u0441\u0442\u0427\u0441\u043B \u0420\u0435\u0434\u0422\u0435\u043A\u0441\u0442 \u0420\u0435\u0435\u0441\u0442\u0440\u0417\u0430\u043F\u0438\u0441\u044C \u0420\u0435\u0435\u0441\u0442\u0440\u0421\u043F\u0438\u0441\u043E\u043A\u0418\u043C\u0435\u043D\u041F\u0430\u0440\u0430\u043C \u0420\u0435\u0435\u0441\u0442\u0440\u0427\u0442\u0435\u043D\u0438\u0435 \u0420\u0435\u043A\u0432\u0421\u043F\u0440 \u0420\u0435\u043A\u0432\u0421\u043F\u0440\u041F\u0440 \u0421\u0435\u0433\u043E\u0434\u043D\u044F \u0421\u0435\u0439\u0447\u0430\u0441 \u0421\u0435\u0440\u0432\u0435\u0440 \u0421\u0435\u0440\u0432\u0435\u0440\u041F\u0440\u043E\u0446\u0435\u0441\u0441\u0418\u0414 \u0421\u0435\u0440\u0442\u0438\u0444\u0438\u043A\u0430\u0442\u0424\u0430\u0439\u043B\u0421\u0447\u0438\u0442\u0430\u0442\u044C \u0421\u0436\u041F\u0440\u043E\u0431 \u0421\u0438\u043C\u0432\u043E\u043B \u0421\u0438\u0441\u0442\u0435\u043C\u0430\u0414\u0438\u0440\u0435\u043A\u0442\u0443\u043C\u041A\u043E\u0434 \u0421\u0438\u0441\u0442\u0435\u043C\u0430\u0418\u043D\u0444\u043E\u0440\u043C\u0430\u0446\u0438\u044F \u0421\u0438\u0441\u0442\u0435\u043C\u0430\u041A\u043E\u0434 \u0421\u043E\u0434\u0435\u0440\u0436\u0438\u0442 \u0421\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435\u0417\u0430\u043A\u0440\u044B\u0442\u044C \u0421\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435\u041E\u0442\u043A\u0440\u044B\u0442\u044C \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0414\u0438\u0430\u043B\u043E\u0433 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0414\u0438\u0430\u043B\u043E\u0433\u0412\u044B\u0431\u043E\u0440\u0430\u0418\u0437\u0414\u0432\u0443\u0445\u0421\u043F\u0438\u0441\u043A\u043E\u0432 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0414\u0438\u0430\u043B\u043E\u0433\u0412\u044B\u0431\u043E\u0440\u0430\u041F\u0430\u043F\u043A\u0438 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0414\u0438\u0430\u043B\u043E\u0433\u041E\u0442\u043A\u0440\u044B\u0442\u0438\u044F\u0424\u0430\u0439\u043B\u0430 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0414\u0438\u0430\u043B\u043E\u0433\u0421\u043E\u0445\u0440\u0430\u043D\u0435\u043D\u0438\u044F\u0424\u0430\u0439\u043B\u0430 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0417\u0430\u043F\u0440\u043E\u0441 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0418\u043D\u0434\u0438\u043A\u0430\u0442\u043E\u0440 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0418\u0441\u043A\u043B\u044E\u0447\u0435\u043D\u0438\u0435 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u041A\u044D\u0448\u0438\u0440\u043E\u0432\u0430\u043D\u043D\u044B\u0439\u0421\u043F\u0440\u0430\u0432\u043E\u0447\u043D\u0438\u043A \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u041C\u0430\u0441\u0441\u0438\u0432 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u041D\u0430\u0431\u043E\u0440\u0414\u0430\u043D\u043D\u044B\u0445 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u041E\u0431\u044A\u0435\u043A\u0442 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u041E\u0442\u0447\u0435\u0442 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u041F\u0430\u043F\u043A\u0443 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0420\u0435\u0434\u0430\u043A\u0442\u043E\u0440 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0421\u043E\u0435\u0434\u0438\u043D\u0435\u043D\u0438\u0435 \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0421\u043F\u0438\u0441\u043E\u043A \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0421\u043F\u0438\u0441\u043E\u043A\u0421\u0442\u0440\u043E\u043A \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0421\u043F\u0440\u0430\u0432\u043E\u0447\u043D\u0438\u043A \u0421\u043E\u0437\u0434\u0430\u0442\u044C\u0421\u0446\u0435\u043D\u0430\u0440\u0438\u0439 \u0421\u043E\u0437\u0434\u0421\u043F\u0440 \u0421\u043E\u0441\u0442\u0421\u043F\u0440 \u0421\u043E\u0445\u0440 \u0421\u043E\u0445\u0440\u0421\u043F\u0440 \u0421\u043F\u0438\u0441\u043E\u043A\u0421\u0438\u0441\u0442\u0435\u043C \u0421\u043F\u0440 \u0421\u043F\u0440\u0430\u0432\u043E\u0447\u043D\u0438\u043A \u0421\u043F\u0440\u0411\u043B\u043E\u043A\u0415\u0441\u0442\u044C \u0421\u043F\u0440\u0411\u043B\u043E\u043A\u0421\u043D\u044F\u0442\u044C \u0421\u043F\u0440\u0411\u043B\u043E\u043A\u0421\u043D\u044F\u0442\u044C\u0420\u0430\u0441\u0448 \u0421\u043F\u0440\u0411\u043B\u043E\u043A\u0423\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C \u0421\u043F\u0440\u0418\u0437\u043C\u041D\u0430\u0431\u0414\u0430\u043D \u0421\u043F\u0440\u041A\u043E\u0434 \u0421\u043F\u0440\u041D\u043E\u043C\u0435\u0440 \u0421\u043F\u0440\u041E\u0431\u043D\u043E\u0432\u0438\u0442\u044C \u0421\u043F\u0440\u041E\u0442\u043A\u0440\u044B\u0442\u044C \u0421\u043F\u0440\u041E\u0442\u043C\u0435\u043D\u0438\u0442\u044C \u0421\u043F\u0440\u041F\u0430\u0440\u0430\u043C \u0421\u043F\u0440\u041F\u043E\u043B\u0435\u0417\u043D\u0430\u0447 \u0421\u043F\u0440\u041F\u043E\u043B\u0435\u0418\u043C\u044F \u0421\u043F\u0440\u0420\u0435\u043A\u0432 \u0421\u043F\u0440\u0420\u0435\u043A\u0432\u0412\u0432\u0435\u0434\u0417\u043D \u0421\u043F\u0440\u0420\u0435\u043A\u0432\u041D\u043E\u0432\u044B\u0435 \u0421\u043F\u0440\u0420\u0435\u043A\u0432\u041F\u0440 \u0421\u043F\u0440\u0420\u0435\u043A\u0432\u041F\u0440\u0435\u0434\u0417\u043D \u0421\u043F\u0440\u0420\u0435\u043A\u0432\u0420\u0435\u0436\u0438\u043C \u0421\u043F\u0440\u0420\u0435\u043A\u0432\u0422\u0438\u043F\u0422\u0435\u043A\u0441\u0442 \u0421\u043F\u0440\u0421\u043E\u0437\u0434\u0430\u0442\u044C \u0421\u043F\u0440\u0421\u043E\u0441\u0442 \u0421\u043F\u0440\u0421\u043E\u0445\u0440\u0430\u043D\u0438\u0442\u044C \u0421\u043F\u0440\u0422\u0431\u043B\u0418\u0442\u043E\u0433 \u0421\u043F\u0440\u0422\u0431\u043B\u0421\u0442\u0440 \u0421\u043F\u0440\u0422\u0431\u043B\u0421\u0442\u0440\u041A\u043E\u043B \u0421\u043F\u0440\u0422\u0431\u043B\u0421\u0442\u0440\u041C\u0430\u043A\u0441 \u0421\u043F\u0440\u0422\u0431\u043B\u0421\u0442\u0440\u041C\u0438\u043D \u0421\u043F\u0440\u0422\u0431\u043B\u0421\u0442\u0440\u041F\u0440\u0435\u0434 \u0421\u043F\u0440\u0422\u0431\u043B\u0421\u0442\u0440\u0421\u043B\u0435\u0434 \u0421\u043F\u0440\u0422\u0431\u043B\u0421\u0442\u0440\u0421\u043E\u0437\u0434 \u0421\u043F\u0440\u0422\u0431\u043B\u0421\u0442\u0440\u0423\u0434 \u0421\u043F\u0440\u0422\u0435\u043A\u041F\u0440\u0435\u0434\u0441\u0442 \u0421\u043F\u0440\u0423\u0434\u0430\u043B\u0438\u0442\u044C \u0421\u0440\u0430\u0432\u043D\u0438\u0442\u044C\u0421\u0442\u0440 \u0421\u0442\u0440\u0412\u0435\u0440\u0445\u0420\u0435\u0433\u0438\u0441\u0442\u0440 \u0421\u0442\u0440\u041D\u0438\u0436\u043D\u0420\u0435\u0433\u0438\u0441\u0442\u0440 \u0421\u0442\u0440\u0422\u0431\u043B\u0421\u043F\u0440 \u0421\u0443\u043C\u041F\u0440\u043E\u043F \u0421\u0446\u0435\u043D\u0430\u0440\u0438\u0439 \u0421\u0446\u0435\u043D\u0430\u0440\u0438\u0439\u041F\u0430\u0440\u0430\u043C \u0422\u0435\u043A\u0412\u0435\u0440\u0441\u0438\u044F \u0422\u0435\u043A\u041E\u0440\u0433 \u0422\u043E\u0447\u043D \u0422\u0440\u0430\u043D \u0422\u0440\u0430\u043D\u0441\u043B\u0438\u0442\u0435\u0440\u0430\u0446\u0438\u044F \u0423\u0434\u0430\u043B\u0438\u0442\u044C\u0422\u0430\u0431\u043B\u0438\u0446\u0443 \u0423\u0434\u0430\u043B\u0438\u0442\u044C\u0424\u0430\u0439\u043B \u0423\u0434\u0421\u043F\u0440 \u0423\u0434\u0421\u0442\u0440\u0422\u0431\u043B\u0421\u043F\u0440 \u0423\u0441\u0442 \u0423\u0441\u0442\u0430\u043D\u043E\u0432\u043A\u0438\u041A\u043E\u043D\u0441\u0442\u0430\u043D\u0442 \u0424\u0430\u0439\u043B\u0410\u0442\u0440\u0438\u0431\u0443\u0442\u0421\u0447\u0438\u0442\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u0410\u0442\u0440\u0438\u0431\u0443\u0442\u0423\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C \u0424\u0430\u0439\u043B\u0412\u0440\u0435\u043C\u044F \u0424\u0430\u0439\u043B\u0412\u0440\u0435\u043C\u044F\u0423\u0441\u0442\u0430\u043D\u043E\u0432\u0438\u0442\u044C \u0424\u0430\u0439\u043B\u0412\u044B\u0431\u0440\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u0417\u0430\u043D\u044F\u0442 \u0424\u0430\u0439\u043B\u0417\u0430\u043F\u0438\u0441\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u0418\u0441\u043A\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u041A\u043E\u043F\u0438\u0440\u043E\u0432\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u041C\u043E\u0436\u043D\u043E\u0427\u0438\u0442\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u041E\u0442\u043A\u0440\u044B\u0442\u044C \u0424\u0430\u0439\u043B\u041F\u0435\u0440\u0435\u0438\u043C\u0435\u043D\u043E\u0432\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u041F\u0435\u0440\u0435\u043A\u043E\u0434\u0438\u0440\u043E\u0432\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u041F\u0435\u0440\u0435\u043C\u0435\u0441\u0442\u0438\u0442\u044C \u0424\u0430\u0439\u043B\u041F\u0440\u043E\u0441\u043C\u043E\u0442\u0440\u0435\u0442\u044C \u0424\u0430\u0439\u043B\u0420\u0430\u0437\u043C\u0435\u0440 \u0424\u0430\u0439\u043B\u0421\u043E\u0437\u0434\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u0421\u0441\u044B\u043B\u043A\u0430\u0421\u043E\u0437\u0434\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u0421\u0443\u0449\u0435\u0441\u0442\u0432\u0443\u0435\u0442 \u0424\u0430\u0439\u043B\u0421\u0447\u0438\u0442\u0430\u0442\u044C \u0424\u0430\u0439\u043B\u0423\u0434\u0430\u043B\u0438\u0442\u044C \u0424\u043C\u0442SQL\u0414\u0430\u0442 \u0424\u043C\u0442\u0414\u0430\u0442 \u0424\u043C\u0442\u0421\u0442\u0440 \u0424\u043C\u0442\u0427\u0441\u043B \u0424\u043E\u0440\u043C\u0430\u0442 \u0426\u041C\u0430\u0441\u0441\u0438\u0432\u042D\u043B\u0435\u043C\u0435\u043D\u0442 \u0426\u041D\u0430\u0431\u043E\u0440\u0414\u0430\u043D\u043D\u044B\u0445\u0420\u0435\u043A\u0432\u0438\u0437\u0438\u0442 \u0426\u041F\u043E\u0434\u0441\u0442\u0440 ";
        const predefined_variables = "AltState Application CallType ComponentTokens CreatedJobs CreatedNotices ControlState DialogResult Dialogs EDocuments EDocumentVersionSource Folders GlobalIDs Job Jobs InputValue LookUpReference LookUpRequisiteNames LookUpSearch Object ParentComponent Processes References Requisite ReportName Reports Result Scripts Searches SelectedAttachments SelectedItems SelectMode Sender ServerEvents ServiceFactory ShiftState SubTask SystemDialogs Tasks Wizard Wizards Work \u0412\u044B\u0437\u043E\u0432\u0421\u043F\u043E\u0441\u043E\u0431 \u0418\u043C\u044F\u041E\u0442\u0447\u0435\u0442\u0430 \u0420\u0435\u043A\u0432\u0417\u043D\u0430\u0447 ";
        const interfaces = "IApplication IAccessRights IAccountRepository IAccountSelectionRestrictions IAction IActionList IAdministrationHistoryDescription IAnchors IApplication IArchiveInfo IAttachment IAttachmentList ICheckListBox ICheckPointedList IColumn IComponent IComponentDescription IComponentToken IComponentTokenFactory IComponentTokenInfo ICompRecordInfo IConnection IContents IControl IControlJob IControlJobInfo IControlList ICrypto ICrypto2 ICustomJob ICustomJobInfo ICustomListBox ICustomObjectWizardStep ICustomWork ICustomWorkInfo IDataSet IDataSetAccessInfo IDataSigner IDateCriterion IDateRequisite IDateRequisiteDescription IDateValue IDeaAccessRights IDeaObjectInfo IDevelopmentComponentLock IDialog IDialogFactory IDialogPickRequisiteItems IDialogsFactory IDICSFactory IDocRequisite IDocumentInfo IDualListDialog IECertificate IECertificateInfo IECertificates IEditControl IEditorForm IEdmsExplorer IEdmsObject IEdmsObjectDescription IEdmsObjectFactory IEdmsObjectInfo IEDocument IEDocumentAccessRights IEDocumentDescription IEDocumentEditor IEDocumentFactory IEDocumentInfo IEDocumentStorage IEDocumentVersion IEDocumentVersionListDialog IEDocumentVersionSource IEDocumentWizardStep IEDocVerSignature IEDocVersionState IEnabledMode IEncodeProvider IEncrypter IEvent IEventList IException IExternalEvents IExternalHandler IFactory IField IFileDialog IFolder IFolderDescription IFolderDialog IFolderFactory IFolderInfo IForEach IForm IFormTitle IFormWizardStep IGlobalIDFactory IGlobalIDInfo IGrid IHasher IHistoryDescription IHyperLinkControl IImageButton IImageControl IInnerPanel IInplaceHint IIntegerCriterion IIntegerList IIntegerRequisite IIntegerValue IISBLEditorForm IJob IJobDescription IJobFactory IJobForm IJobInfo ILabelControl ILargeIntegerCriterion ILargeIntegerRequisite ILargeIntegerValue ILicenseInfo ILifeCycleStage IList IListBox ILocalIDInfo ILocalization ILock IMemoryDataSet IMessagingFactory IMetadataRepository INotice INoticeInfo INumericCriterion INumericRequisite INumericValue IObject IObjectDescription IObjectImporter IObjectInfo IObserver IPanelGroup IPickCriterion IPickProperty IPickRequisite IPickRequisiteDescription IPickRequisiteItem IPickRequisiteItems IPickValue IPrivilege IPrivilegeList IProcess IProcessFactory IProcessMessage IProgress IProperty IPropertyChangeEvent IQuery IReference IReferenceCriterion IReferenceEnabledMode IReferenceFactory IReferenceHistoryDescription IReferenceInfo IReferenceRecordCardWizardStep IReferenceRequisiteDescription IReferencesFactory IReferenceValue IRefRequisite IReport IReportFactory IRequisite IRequisiteDescription IRequisiteDescriptionList IRequisiteFactory IRichEdit IRouteStep IRule IRuleList ISchemeBlock IScript IScriptFactory ISearchCriteria ISearchCriterion ISearchDescription ISearchFactory ISearchFolderInfo ISearchForObjectDescription ISearchResultRestrictions ISecuredContext ISelectDialog IServerEvent IServerEventFactory IServiceDialog IServiceFactory ISignature ISignProvider ISignProvider2 ISignProvider3 ISimpleCriterion IStringCriterion IStringList IStringRequisite IStringRequisiteDescription IStringValue ISystemDialogsFactory ISystemInfo ITabSheet ITask ITaskAbortReasonInfo ITaskCardWizardStep ITaskDescription ITaskFactory ITaskInfo ITaskRoute ITextCriterion ITextRequisite ITextValue ITreeListSelectDialog IUser IUserList IValue IView IWebBrowserControl IWizard IWizardAction IWizardFactory IWizardFormElement IWizardParam IWizardPickParam IWizardReferenceParam IWizardStep IWorkAccessRights IWorkDescription IWorkflowAskableParam IWorkflowAskableParams IWorkflowBlock IWorkflowBlockResult IWorkflowEnabledMode IWorkflowParam IWorkflowPickParam IWorkflowReferenceParam IWorkState IWorkTreeCustomNode IWorkTreeJobNode IWorkTreeTaskNode IXMLEditorForm SBCrypto ";
        const BUILTIN = CONSTANTS + ENUMS;
        const CLASS = predefined_variables;
        const LITERAL = "null true false nil ";
        const NUMBERS = {
          className: "number",
          begin: hljs.NUMBER_RE,
          relevance: 0
        };
        const STRINGS = {
          className: "string",
          variants: [
            {
              begin: '"',
              end: '"'
            },
            {
              begin: "'",
              end: "'"
            }
          ]
        };
        const DOCTAGS = {
          className: "doctag",
          begin: "\\b(?:TODO|DONE|BEGIN|END|STUB|CHG|FIXME|NOTE|BUG|XXX)\\b",
          relevance: 0
        };
        const ISBL_LINE_COMMENT_MODE = {
          className: "comment",
          begin: "//",
          end: "$",
          relevance: 0,
          contains: [
            hljs.PHRASAL_WORDS_MODE,
            DOCTAGS
          ]
        };
        const ISBL_BLOCK_COMMENT_MODE = {
          className: "comment",
          begin: "/\\*",
          end: "\\*/",
          relevance: 0,
          contains: [
            hljs.PHRASAL_WORDS_MODE,
            DOCTAGS
          ]
        };
        const COMMENTS = { variants: [
          ISBL_LINE_COMMENT_MODE,
          ISBL_BLOCK_COMMENT_MODE
        ] };
        const KEYWORDS = {
          $pattern: UNDERSCORE_IDENT_RE,
          keyword: KEYWORD,
          built_in: BUILTIN,
          class: CLASS,
          literal: LITERAL
        };
        const METHODS = {
          begin: "\\.\\s*" + hljs.UNDERSCORE_IDENT_RE,
          keywords: KEYWORDS,
          relevance: 0
        };
        const TYPES = {
          className: "type",
          begin: ":[ \\t]*(" + interfaces.trim().replace(/\s/g, "|") + ")",
          end: "[ \\t]*=",
          excludeEnd: true
        };
        const VARIABLES = {
          className: "variable",
          keywords: KEYWORDS,
          begin: UNDERSCORE_IDENT_RE,
          relevance: 0,
          contains: [
            TYPES,
            METHODS
          ]
        };
        const FUNCTION_TITLE = FUNCTION_NAME_IDENT_RE + "\\(";
        const TITLE_MODE = {
          className: "title",
          keywords: {
            $pattern: UNDERSCORE_IDENT_RE,
            built_in: system_functions
          },
          begin: FUNCTION_TITLE,
          end: "\\(",
          returnBegin: true,
          excludeEnd: true
        };
        const FUNCTIONS = {
          className: "function",
          begin: FUNCTION_TITLE,
          end: "\\)$",
          returnBegin: true,
          keywords: KEYWORDS,
          illegal: "[\\[\\]\\|\\$\\?%,~#@]",
          contains: [
            TITLE_MODE,
            METHODS,
            VARIABLES,
            STRINGS,
            NUMBERS,
            COMMENTS
          ]
        };
        return {
          name: "ISBL",
          case_insensitive: true,
          keywords: KEYWORDS,
          illegal: "\\$|\\?|%|,|;$|~|#|@|</",
          contains: [
            FUNCTIONS,
            TYPES,
            METHODS,
            VARIABLES,
            STRINGS,
            NUMBERS,
            COMMENTS
          ]
        };
      }
      module.exports = isbl;
    }
  });

  // node_modules/highlight.js/lib/languages/java.js
  var require_java = __commonJS({
    "node_modules/highlight.js/lib/languages/java.js"(exports, module) {
      var decimalDigits = "[0-9](_*[0-9])*";
      var frac = `\\.(${decimalDigits})`;
      var hexDigits = "[0-9a-fA-F](_*[0-9a-fA-F])*";
      var NUMERIC = {
        className: "number",
        variants: [
          // DecimalFloatingPointLiteral
          // including ExponentPart
          { begin: `(\\b(${decimalDigits})((${frac})|\\.)?|(${frac}))[eE][+-]?(${decimalDigits})[fFdD]?\\b` },
          // excluding ExponentPart
          { begin: `\\b(${decimalDigits})((${frac})[fFdD]?\\b|\\.([fFdD]\\b)?)` },
          { begin: `(${frac})[fFdD]?\\b` },
          { begin: `\\b(${decimalDigits})[fFdD]\\b` },
          // HexadecimalFloatingPointLiteral
          { begin: `\\b0[xX]((${hexDigits})\\.?|(${hexDigits})?\\.(${hexDigits}))[pP][+-]?(${decimalDigits})[fFdD]?\\b` },
          // DecimalIntegerLiteral
          { begin: "\\b(0|[1-9](_*[0-9])*)[lL]?\\b" },
          // HexIntegerLiteral
          { begin: `\\b0[xX](${hexDigits})[lL]?\\b` },
          // OctalIntegerLiteral
          { begin: "\\b0(_*[0-7])*[lL]?\\b" },
          // BinaryIntegerLiteral
          { begin: "\\b0[bB][01](_*[01])*[lL]?\\b" }
        ],
        relevance: 0
      };
      function recurRegex(re2, substitution, depth) {
        if (depth === -1) return "";
        return re2.replace(substitution, (_2) => {
          return recurRegex(re2, substitution, depth - 1);
        });
      }
      function java(hljs) {
        const regex = hljs.regex;
        const JAVA_IDENT_RE = "[\xC0-\u02B8a-zA-Z_$][\xC0-\u02B8a-zA-Z_$0-9]*";
        const GENERIC_IDENT_RE = JAVA_IDENT_RE + recurRegex("(?:<" + JAVA_IDENT_RE + "~~~(?:\\s*,\\s*" + JAVA_IDENT_RE + "~~~)*>)?", /~~~/g, 2);
        const MAIN_KEYWORDS = [
          "synchronized",
          "abstract",
          "private",
          "var",
          "static",
          "if",
          "const ",
          "for",
          "while",
          "strictfp",
          "finally",
          "protected",
          "import",
          "native",
          "final",
          "void",
          "enum",
          "else",
          "break",
          "transient",
          "catch",
          "instanceof",
          "volatile",
          "case",
          "assert",
          "package",
          "default",
          "public",
          "try",
          "switch",
          "continue",
          "throws",
          "protected",
          "public",
          "private",
          "module",
          "requires",
          "exports",
          "do",
          "sealed",
          "yield",
          "permits",
          "goto",
          "when"
        ];
        const BUILT_INS = [
          "super",
          "this"
        ];
        const LITERALS = [
          "false",
          "true",
          "null"
        ];
        const TYPES = [
          "char",
          "boolean",
          "long",
          "float",
          "int",
          "byte",
          "short",
          "double"
        ];
        const KEYWORDS = {
          keyword: MAIN_KEYWORDS,
          literal: LITERALS,
          type: TYPES,
          built_in: BUILT_INS
        };
        const ANNOTATION = {
          className: "meta",
          begin: "@" + JAVA_IDENT_RE,
          contains: [
            {
              begin: /\(/,
              end: /\)/,
              contains: ["self"]
              // allow nested () inside our annotation
            }
          ]
        };
        const PARAMS = {
          className: "params",
          begin: /\(/,
          end: /\)/,
          keywords: KEYWORDS,
          relevance: 0,
          contains: [hljs.C_BLOCK_COMMENT_MODE],
          endsParent: true
        };
        return {
          name: "Java",
          aliases: ["jsp"],
          keywords: KEYWORDS,
          illegal: /<\/|#/,
          contains: [
            hljs.COMMENT(
              "/\\*\\*",
              "\\*/",
              {
                relevance: 0,
                contains: [
                  {
                    // eat up @'s in emails to prevent them to be recognized as doctags
                    begin: /\w+@/,
                    relevance: 0
                  },
                  {
                    className: "doctag",
                    begin: "@[A-Za-z]+"
                  }
                ]
              }
            ),
            // relevance boost
            {
              begin: /import java\.[a-z]+\./,
              keywords: "import",
              relevance: 2
            },
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            {
              begin: /"""/,
              end: /"""/,
              className: "string",
              contains: [hljs.BACKSLASH_ESCAPE]
            },
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            {
              match: [
                /\b(?:class|interface|enum|extends|implements|new)/,
                /\s+/,
                JAVA_IDENT_RE
              ],
              className: {
                1: "keyword",
                3: "title.class"
              }
            },
            {
              // Exceptions for hyphenated keywords
              match: /non-sealed/,
              scope: "keyword"
            },
            {
              begin: [
                regex.concat(/(?!else)/, JAVA_IDENT_RE),
                /\s+/,
                JAVA_IDENT_RE,
                /\s+/,
                /=(?!=)/
              ],
              className: {
                1: "type",
                3: "variable",
                5: "operator"
              }
            },
            {
              begin: [
                /record/,
                /\s+/,
                JAVA_IDENT_RE
              ],
              className: {
                1: "keyword",
                3: "title.class"
              },
              contains: [
                PARAMS,
                hljs.C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE
              ]
            },
            {
              // Expression keywords prevent 'keyword Name(...)' from being
              // recognized as a function definition
              beginKeywords: "new throw return else",
              relevance: 0
            },
            {
              begin: [
                "(?:" + GENERIC_IDENT_RE + "\\s+)",
                hljs.UNDERSCORE_IDENT_RE,
                /\s*(?=\()/
              ],
              className: { 2: "title.function" },
              keywords: KEYWORDS,
              contains: [
                {
                  className: "params",
                  begin: /\(/,
                  end: /\)/,
                  keywords: KEYWORDS,
                  relevance: 0,
                  contains: [
                    ANNOTATION,
                    hljs.APOS_STRING_MODE,
                    hljs.QUOTE_STRING_MODE,
                    NUMERIC,
                    hljs.C_BLOCK_COMMENT_MODE
                  ]
                },
                hljs.C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE
              ]
            },
            NUMERIC,
            ANNOTATION
          ]
        };
      }
      module.exports = java;
    }
  });

  // node_modules/highlight.js/lib/languages/javascript.js
  var require_javascript = __commonJS({
    "node_modules/highlight.js/lib/languages/javascript.js"(exports, module) {
      var IDENT_RE = "[A-Za-z$_][0-9A-Za-z$_]*";
      var KEYWORDS = [
        "as",
        // for exports
        "in",
        "of",
        "if",
        "for",
        "while",
        "finally",
        "var",
        "new",
        "function",
        "do",
        "return",
        "void",
        "else",
        "break",
        "catch",
        "instanceof",
        "with",
        "throw",
        "case",
        "default",
        "try",
        "switch",
        "continue",
        "typeof",
        "delete",
        "let",
        "yield",
        "const",
        "class",
        // JS handles these with a special rule
        // "get",
        // "set",
        "debugger",
        "async",
        "await",
        "static",
        "import",
        "from",
        "export",
        "extends",
        // It's reached stage 3, which is "recommended for implementation":
        "using"
      ];
      var LITERALS = [
        "true",
        "false",
        "null",
        "undefined",
        "NaN",
        "Infinity"
      ];
      var TYPES = [
        // Fundamental objects
        "Object",
        "Function",
        "Boolean",
        "Symbol",
        // numbers and dates
        "Math",
        "Date",
        "Number",
        "BigInt",
        // text
        "String",
        "RegExp",
        // Indexed collections
        "Array",
        "Float32Array",
        "Float64Array",
        "Int8Array",
        "Uint8Array",
        "Uint8ClampedArray",
        "Int16Array",
        "Int32Array",
        "Uint16Array",
        "Uint32Array",
        "BigInt64Array",
        "BigUint64Array",
        // Keyed collections
        "Set",
        "Map",
        "WeakSet",
        "WeakMap",
        // Structured data
        "ArrayBuffer",
        "SharedArrayBuffer",
        "Atomics",
        "DataView",
        "JSON",
        // Control abstraction objects
        "Promise",
        "Generator",
        "GeneratorFunction",
        "AsyncFunction",
        // Reflection
        "Reflect",
        "Proxy",
        // Internationalization
        "Intl",
        // WebAssembly
        "WebAssembly"
      ];
      var ERROR_TYPES = [
        "Error",
        "EvalError",
        "InternalError",
        "RangeError",
        "ReferenceError",
        "SyntaxError",
        "TypeError",
        "URIError"
      ];
      var BUILT_IN_GLOBALS = [
        "setInterval",
        "setTimeout",
        "clearInterval",
        "clearTimeout",
        "require",
        "exports",
        "eval",
        "isFinite",
        "isNaN",
        "parseFloat",
        "parseInt",
        "decodeURI",
        "decodeURIComponent",
        "encodeURI",
        "encodeURIComponent",
        "escape",
        "unescape"
      ];
      var BUILT_IN_VARIABLES = [
        "arguments",
        "this",
        "super",
        "console",
        "window",
        "document",
        "localStorage",
        "sessionStorage",
        "module",
        "global"
        // Node.js
      ];
      var BUILT_INS = [].concat(
        BUILT_IN_GLOBALS,
        TYPES,
        ERROR_TYPES
      );
      function javascript(hljs) {
        const regex = hljs.regex;
        const hasClosingTag = (match, { after }) => {
          const tag = "</" + match[0].slice(1);
          const pos = match.input.indexOf(tag, after);
          return pos !== -1;
        };
        const IDENT_RE$1 = IDENT_RE;
        const FRAGMENT = {
          begin: "<>",
          end: "</>"
        };
        const XML_SELF_CLOSING = /<[A-Za-z0-9\\._:-]+\s*\/>/;
        const XML_TAG = {
          begin: /<[A-Za-z0-9\\._:-]+/,
          end: /\/[A-Za-z0-9\\._:-]+>|\/>/,
          /**
           * @param {RegExpMatchArray} match
           * @param {CallbackResponse} response
           */
          isTrulyOpeningTag: (match, response) => {
            const afterMatchIndex = match[0].length + match.index;
            const nextChar = match.input[afterMatchIndex];
            if (
              // HTML should not include another raw `<` inside a tag
              // nested type?
              // `<Array<Array<number>>`, etc.
              nextChar === "<" || // the , gives away that this is not HTML
              // `<T, A extends keyof T, V>`
              nextChar === ","
            ) {
              response.ignoreMatch();
              return;
            }
            if (nextChar === ">") {
              if (!hasClosingTag(match, { after: afterMatchIndex })) {
                response.ignoreMatch();
              }
            }
            let m2;
            const afterMatch = match.input.substring(afterMatchIndex);
            if (m2 = afterMatch.match(/^\s*=/)) {
              response.ignoreMatch();
              return;
            }
            if (m2 = afterMatch.match(/^\s+extends\s+/)) {
              if (m2.index === 0) {
                response.ignoreMatch();
                return;
              }
            }
          }
        };
        const KEYWORDS$1 = {
          $pattern: IDENT_RE,
          keyword: KEYWORDS,
          literal: LITERALS,
          built_in: BUILT_INS,
          "variable.language": BUILT_IN_VARIABLES
        };
        const decimalDigits = "[0-9](_?[0-9])*";
        const frac = `\\.(${decimalDigits})`;
        const decimalInteger = `0|[1-9](_?[0-9])*|0[0-7]*[89][0-9]*`;
        const NUMBER = {
          className: "number",
          variants: [
            // DecimalLiteral
            { begin: `(\\b(${decimalInteger})((${frac})|\\.)?|(${frac}))[eE][+-]?(${decimalDigits})\\b` },
            { begin: `\\b(${decimalInteger})\\b((${frac})\\b|\\.)?|(${frac})\\b` },
            // DecimalBigIntegerLiteral
            { begin: `\\b(0|[1-9](_?[0-9])*)n\\b` },
            // NonDecimalIntegerLiteral
            { begin: "\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*n?\\b" },
            { begin: "\\b0[bB][0-1](_?[0-1])*n?\\b" },
            { begin: "\\b0[oO][0-7](_?[0-7])*n?\\b" },
            // LegacyOctalIntegerLiteral (does not include underscore separators)
            // https://tc39.es/ecma262/#sec-additional-syntax-numeric-literals
            { begin: "\\b0[0-7]+n?\\b" }
          ],
          relevance: 0
        };
        const SUBST = {
          className: "subst",
          begin: "\\$\\{",
          end: "\\}",
          keywords: KEYWORDS$1,
          contains: []
          // defined later
        };
        const HTML_TEMPLATE = {
          begin: ".?html`",
          end: "",
          starts: {
            end: "`",
            returnEnd: false,
            contains: [
              hljs.BACKSLASH_ESCAPE,
              SUBST
            ],
            subLanguage: "xml"
          }
        };
        const CSS_TEMPLATE = {
          begin: ".?css`",
          end: "",
          starts: {
            end: "`",
            returnEnd: false,
            contains: [
              hljs.BACKSLASH_ESCAPE,
              SUBST
            ],
            subLanguage: "css"
          }
        };
        const GRAPHQL_TEMPLATE = {
          begin: ".?gql`",
          end: "",
          starts: {
            end: "`",
            returnEnd: false,
            contains: [
              hljs.BACKSLASH_ESCAPE,
              SUBST
            ],
            subLanguage: "graphql"
          }
        };
        const TEMPLATE_STRING = {
          className: "string",
          begin: "`",
          end: "`",
          contains: [
            hljs.BACKSLASH_ESCAPE,
            SUBST
          ]
        };
        const JSDOC_COMMENT = hljs.COMMENT(
          /\/\*\*(?!\/)/,
          "\\*/",
          {
            relevance: 0,
            contains: [
              {
                begin: "(?=@[A-Za-z]+)",
                relevance: 0,
                contains: [
                  {
                    className: "doctag",
                    begin: "@[A-Za-z]+"
                  },
                  {
                    className: "type",
                    begin: "\\{",
                    end: "\\}",
                    excludeEnd: true,
                    excludeBegin: true,
                    relevance: 0
                  },
                  {
                    className: "variable",
                    begin: IDENT_RE$1 + "(?=\\s*(-)|$)",
                    endsParent: true,
                    relevance: 0
                  },
                  // eat spaces (not newlines) so we can find
                  // types or variables
                  {
                    begin: /(?=[^\n])\s/,
                    relevance: 0
                  }
                ]
              }
            ]
          }
        );
        const COMMENT = {
          className: "comment",
          variants: [
            JSDOC_COMMENT,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.C_LINE_COMMENT_MODE
          ]
        };
        const SUBST_INTERNALS = [
          hljs.APOS_STRING_MODE,
          hljs.QUOTE_STRING_MODE,
          HTML_TEMPLATE,
          CSS_TEMPLATE,
          GRAPHQL_TEMPLATE,
          TEMPLATE_STRING,
          // Skip numbers when they are part of a variable name
          { match: /\$\d+/ },
          NUMBER
          // This is intentional:
          // See https://github.com/highlightjs/highlight.js/issues/3288
          // hljs.REGEXP_MODE
        ];
        SUBST.contains = SUBST_INTERNALS.concat({
          // we need to pair up {} inside our subst to prevent
          // it from ending too early by matching another }
          begin: /\{/,
          end: /\}/,
          keywords: KEYWORDS$1,
          contains: [
            "self"
          ].concat(SUBST_INTERNALS)
        });
        const SUBST_AND_COMMENTS = [].concat(COMMENT, SUBST.contains);
        const PARAMS_CONTAINS = SUBST_AND_COMMENTS.concat([
          // eat recursive parens in sub expressions
          {
            begin: /(\s*)\(/,
            end: /\)/,
            keywords: KEYWORDS$1,
            contains: ["self"].concat(SUBST_AND_COMMENTS)
          }
        ]);
        const PARAMS = {
          className: "params",
          // convert this to negative lookbehind in v12
          begin: /(\s*)\(/,
          // to match the parms with
          end: /\)/,
          excludeBegin: true,
          excludeEnd: true,
          keywords: KEYWORDS$1,
          contains: PARAMS_CONTAINS
        };
        const CLASS_OR_EXTENDS = {
          variants: [
            // class Car extends vehicle
            {
              match: [
                /class/,
                /\s+/,
                IDENT_RE$1,
                /\s+/,
                /extends/,
                /\s+/,
                regex.concat(IDENT_RE$1, "(", regex.concat(/\./, IDENT_RE$1), ")*")
              ],
              scope: {
                1: "keyword",
                3: "title.class",
                5: "keyword",
                7: "title.class.inherited"
              }
            },
            // class Car
            {
              match: [
                /class/,
                /\s+/,
                IDENT_RE$1
              ],
              scope: {
                1: "keyword",
                3: "title.class"
              }
            }
          ]
        };
        const CLASS_REFERENCE = {
          relevance: 0,
          match: regex.either(
            // Hard coded exceptions
            /\bJSON/,
            // Float32Array, OutT
            /\b[A-Z][a-z]+([A-Z][a-z]*|\d)*/,
            // CSSFactory, CSSFactoryT
            /\b[A-Z]{2,}([A-Z][a-z]+|\d)+([A-Z][a-z]*)*/,
            // FPs, FPsT
            /\b[A-Z]{2,}[a-z]+([A-Z][a-z]+|\d)*([A-Z][a-z]*)*/
            // P
            // single letters are not highlighted
            // BLAH
            // this will be flagged as a UPPER_CASE_CONSTANT instead
          ),
          className: "title.class",
          keywords: {
            _: [
              // se we still get relevance credit for JS library classes
              ...TYPES,
              ...ERROR_TYPES
            ]
          }
        };
        const USE_STRICT = {
          label: "use_strict",
          className: "meta",
          relevance: 10,
          begin: /^\s*['"]use (strict|asm)['"]/
        };
        const FUNCTION_DEFINITION = {
          variants: [
            {
              match: [
                /function/,
                /\s+/,
                IDENT_RE$1,
                /(?=\s*\()/
              ]
            },
            // anonymous function
            {
              match: [
                /function/,
                /\s*(?=\()/
              ]
            }
          ],
          className: {
            1: "keyword",
            3: "title.function"
          },
          label: "func.def",
          contains: [PARAMS],
          illegal: /%/
        };
        const UPPER_CASE_CONSTANT = {
          relevance: 0,
          match: /\b[A-Z][A-Z_0-9]+\b/,
          className: "variable.constant"
        };
        function noneOf(list) {
          return regex.concat("(?!", list.join("|"), ")");
        }
        const FUNCTION_CALL = {
          match: regex.concat(
            /\b/,
            noneOf([
              ...BUILT_IN_GLOBALS,
              "super",
              "import"
            ].map((x) => `${x}\\s*\\(`)),
            IDENT_RE$1,
            regex.lookahead(/\s*\(/)
          ),
          className: "title.function",
          relevance: 0
        };
        const PROPERTY_ACCESS = {
          begin: regex.concat(/\./, regex.lookahead(
            regex.concat(IDENT_RE$1, /(?![0-9A-Za-z$_(])/)
          )),
          end: IDENT_RE$1,
          excludeBegin: true,
          keywords: "prototype",
          className: "property",
          relevance: 0
        };
        const GETTER_OR_SETTER = {
          match: [
            /get|set/,
            /\s+/,
            IDENT_RE$1,
            /(?=\()/
          ],
          className: {
            1: "keyword",
            3: "title.function"
          },
          contains: [
            {
              // eat to avoid empty params
              begin: /\(\)/
            },
            PARAMS
          ]
        };
        const FUNC_LEAD_IN_RE = "(\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)|" + hljs.UNDERSCORE_IDENT_RE + ")\\s*=>";
        const FUNCTION_VARIABLE = {
          match: [
            /const|var|let/,
            /\s+/,
            IDENT_RE$1,
            /\s*/,
            /=\s*/,
            /(async\s*)?/,
            // async is optional
            regex.lookahead(FUNC_LEAD_IN_RE)
          ],
          keywords: "async",
          className: {
            1: "keyword",
            3: "title.function"
          },
          contains: [
            PARAMS
          ]
        };
        return {
          name: "JavaScript",
          aliases: ["js", "jsx", "mjs", "cjs"],
          keywords: KEYWORDS$1,
          // this will be extended by TypeScript
          exports: { PARAMS_CONTAINS, CLASS_REFERENCE },
          illegal: /#(?![$_A-z])/,
          contains: [
            hljs.SHEBANG({
              label: "shebang",
              binary: "node",
              relevance: 5
            }),
            USE_STRICT,
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            HTML_TEMPLATE,
            CSS_TEMPLATE,
            GRAPHQL_TEMPLATE,
            TEMPLATE_STRING,
            COMMENT,
            // Skip numbers when they are part of a variable name
            { match: /\$\d+/ },
            NUMBER,
            CLASS_REFERENCE,
            {
              scope: "attr",
              match: IDENT_RE$1 + regex.lookahead(":"),
              relevance: 0
            },
            FUNCTION_VARIABLE,
            {
              // "value" container
              begin: "(" + hljs.RE_STARTERS_RE + "|\\b(case|return|throw)\\b)\\s*",
              keywords: "return throw case",
              relevance: 0,
              contains: [
                COMMENT,
                hljs.REGEXP_MODE,
                {
                  className: "function",
                  // we have to count the parens to make sure we actually have the
                  // correct bounding ( ) before the =>.  There could be any number of
                  // sub-expressions inside also surrounded by parens.
                  begin: FUNC_LEAD_IN_RE,
                  returnBegin: true,
                  end: "\\s*=>",
                  contains: [
                    {
                      className: "params",
                      variants: [
                        {
                          begin: hljs.UNDERSCORE_IDENT_RE,
                          relevance: 0
                        },
                        {
                          className: null,
                          begin: /\(\s*\)/,
                          skip: true
                        },
                        {
                          begin: /(\s*)\(/,
                          end: /\)/,
                          excludeBegin: true,
                          excludeEnd: true,
                          keywords: KEYWORDS$1,
                          contains: PARAMS_CONTAINS
                        }
                      ]
                    }
                  ]
                },
                {
                  // could be a comma delimited list of params to a function call
                  begin: /,/,
                  relevance: 0
                },
                {
                  match: /\s+/,
                  relevance: 0
                },
                {
                  // JSX
                  variants: [
                    { begin: FRAGMENT.begin, end: FRAGMENT.end },
                    { match: XML_SELF_CLOSING },
                    {
                      begin: XML_TAG.begin,
                      // we carefully check the opening tag to see if it truly
                      // is a tag and not a false positive
                      "on:begin": XML_TAG.isTrulyOpeningTag,
                      end: XML_TAG.end
                    }
                  ],
                  subLanguage: "xml",
                  contains: [
                    {
                      begin: XML_TAG.begin,
                      end: XML_TAG.end,
                      skip: true,
                      contains: ["self"]
                    }
                  ]
                }
              ]
            },
            FUNCTION_DEFINITION,
            {
              // prevent this from getting swallowed up by function
              // since they appear "function like"
              beginKeywords: "while if switch catch for"
            },
            {
              // we have to count the parens to make sure we actually have the correct
              // bounding ( ).  There could be any number of sub-expressions inside
              // also surrounded by parens.
              begin: "\\b(?!function)" + hljs.UNDERSCORE_IDENT_RE + "\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)\\s*\\{",
              // end parens
              returnBegin: true,
              label: "func.def",
              contains: [
                PARAMS,
                hljs.inherit(hljs.TITLE_MODE, { begin: IDENT_RE$1, className: "title.function" })
              ]
            },
            // catch ... so it won't trigger the property rule below
            {
              match: /\.\.\./,
              relevance: 0
            },
            PROPERTY_ACCESS,
            // hack: prevents detection of keywords in some circumstances
            // .keyword()
            // $keyword = x
            {
              match: "\\$" + IDENT_RE$1,
              relevance: 0
            },
            {
              match: [/\bconstructor(?=\s*\()/],
              className: { 1: "title.function" },
              contains: [PARAMS]
            },
            FUNCTION_CALL,
            UPPER_CASE_CONSTANT,
            CLASS_OR_EXTENDS,
            GETTER_OR_SETTER,
            {
              match: /\$[(.]/
              // relevance booster for a pattern common to JS libs: `$(something)` and `$.something`
            }
          ]
        };
      }
      module.exports = javascript;
    }
  });

  // node_modules/highlight.js/lib/languages/jboss-cli.js
  var require_jboss_cli = __commonJS({
    "node_modules/highlight.js/lib/languages/jboss-cli.js"(exports, module) {
      function jbossCli(hljs) {
        const PARAM = {
          begin: /[\w-]+ *=/,
          returnBegin: true,
          relevance: 0,
          contains: [
            {
              className: "attr",
              begin: /[\w-]+/
            }
          ]
        };
        const PARAMSBLOCK = {
          className: "params",
          begin: /\(/,
          end: /\)/,
          contains: [PARAM],
          relevance: 0
        };
        const OPERATION = {
          className: "function",
          begin: /:[\w\-.]+/,
          relevance: 0
        };
        const PATH = {
          className: "string",
          begin: /\B([\/.])[\w\-.\/=]+/
        };
        const COMMAND_PARAMS = {
          className: "params",
          begin: /--[\w\-=\/]+/
        };
        return {
          name: "JBoss CLI",
          aliases: ["wildfly-cli"],
          keywords: {
            $pattern: "[a-z-]+",
            keyword: "alias batch cd clear command connect connection-factory connection-info data-source deploy deployment-info deployment-overlay echo echo-dmr help history if jdbc-driver-info jms-queue|20 jms-topic|20 ls patch pwd quit read-attribute read-operation reload rollout-plan run-batch set shutdown try unalias undeploy unset version xa-data-source",
            // module
            literal: "true false"
          },
          contains: [
            hljs.HASH_COMMENT_MODE,
            hljs.QUOTE_STRING_MODE,
            COMMAND_PARAMS,
            OPERATION,
            PATH,
            PARAMSBLOCK
          ]
        };
      }
      module.exports = jbossCli;
    }
  });

  // node_modules/highlight.js/lib/languages/json.js
  var require_json = __commonJS({
    "node_modules/highlight.js/lib/languages/json.js"(exports, module) {
      function json(hljs) {
        const ATTRIBUTE = {
          className: "attr",
          begin: /"(\\.|[^\\"\r\n])*"(?=\s*:)/,
          relevance: 1.01
        };
        const PUNCTUATION = {
          match: /[{}[\],:]/,
          className: "punctuation",
          relevance: 0
        };
        const LITERALS = [
          "true",
          "false",
          "null"
        ];
        const LITERALS_MODE = {
          scope: "literal",
          beginKeywords: LITERALS.join(" ")
        };
        return {
          name: "JSON",
          aliases: ["jsonc"],
          keywords: {
            literal: LITERALS
          },
          contains: [
            ATTRIBUTE,
            PUNCTUATION,
            hljs.QUOTE_STRING_MODE,
            LITERALS_MODE,
            hljs.C_NUMBER_MODE,
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE
          ],
          illegal: "\\S"
        };
      }
      module.exports = json;
    }
  });

  // node_modules/highlight.js/lib/languages/julia.js
  var require_julia = __commonJS({
    "node_modules/highlight.js/lib/languages/julia.js"(exports, module) {
      function julia(hljs) {
        const VARIABLE_NAME_RE = "[A-Za-z_\\u00A1-\\uFFFF][A-Za-z_0-9\\u00A1-\\uFFFF]*";
        const KEYWORD_LIST = [
          "baremodule",
          "begin",
          "break",
          "catch",
          "ccall",
          "const",
          "continue",
          "do",
          "else",
          "elseif",
          "end",
          "export",
          "false",
          "finally",
          "for",
          "function",
          "global",
          "if",
          "import",
          "in",
          "isa",
          "let",
          "local",
          "macro",
          "module",
          "quote",
          "return",
          "true",
          "try",
          "using",
          "where",
          "while"
        ];
        const LITERAL_LIST = [
          "ARGS",
          "C_NULL",
          "DEPOT_PATH",
          "ENDIAN_BOM",
          "ENV",
          "Inf",
          "Inf16",
          "Inf32",
          "Inf64",
          "InsertionSort",
          "LOAD_PATH",
          "MergeSort",
          "NaN",
          "NaN16",
          "NaN32",
          "NaN64",
          "PROGRAM_FILE",
          "QuickSort",
          "RoundDown",
          "RoundFromZero",
          "RoundNearest",
          "RoundNearestTiesAway",
          "RoundNearestTiesUp",
          "RoundToZero",
          "RoundUp",
          "VERSION|0",
          "devnull",
          "false",
          "im",
          "missing",
          "nothing",
          "pi",
          "stderr",
          "stdin",
          "stdout",
          "true",
          "undef",
          "\u03C0",
          "\u212F"
        ];
        const BUILT_IN_LIST = [
          "AbstractArray",
          "AbstractChannel",
          "AbstractChar",
          "AbstractDict",
          "AbstractDisplay",
          "AbstractFloat",
          "AbstractIrrational",
          "AbstractMatrix",
          "AbstractRange",
          "AbstractSet",
          "AbstractString",
          "AbstractUnitRange",
          "AbstractVecOrMat",
          "AbstractVector",
          "Any",
          "ArgumentError",
          "Array",
          "AssertionError",
          "BigFloat",
          "BigInt",
          "BitArray",
          "BitMatrix",
          "BitSet",
          "BitVector",
          "Bool",
          "BoundsError",
          "CapturedException",
          "CartesianIndex",
          "CartesianIndices",
          "Cchar",
          "Cdouble",
          "Cfloat",
          "Channel",
          "Char",
          "Cint",
          "Cintmax_t",
          "Clong",
          "Clonglong",
          "Cmd",
          "Colon",
          "Complex",
          "ComplexF16",
          "ComplexF32",
          "ComplexF64",
          "CompositeException",
          "Condition",
          "Cptrdiff_t",
          "Cshort",
          "Csize_t",
          "Cssize_t",
          "Cstring",
          "Cuchar",
          "Cuint",
          "Cuintmax_t",
          "Culong",
          "Culonglong",
          "Cushort",
          "Cvoid",
          "Cwchar_t",
          "Cwstring",
          "DataType",
          "DenseArray",
          "DenseMatrix",
          "DenseVecOrMat",
          "DenseVector",
          "Dict",
          "DimensionMismatch",
          "Dims",
          "DivideError",
          "DomainError",
          "EOFError",
          "Enum",
          "ErrorException",
          "Exception",
          "ExponentialBackOff",
          "Expr",
          "Float16",
          "Float32",
          "Float64",
          "Function",
          "GlobalRef",
          "HTML",
          "IO",
          "IOBuffer",
          "IOContext",
          "IOStream",
          "IdDict",
          "IndexCartesian",
          "IndexLinear",
          "IndexStyle",
          "InexactError",
          "InitError",
          "Int",
          "Int128",
          "Int16",
          "Int32",
          "Int64",
          "Int8",
          "Integer",
          "InterruptException",
          "InvalidStateException",
          "Irrational",
          "KeyError",
          "LinRange",
          "LineNumberNode",
          "LinearIndices",
          "LoadError",
          "MIME",
          "Matrix",
          "Method",
          "MethodError",
          "Missing",
          "MissingException",
          "Module",
          "NTuple",
          "NamedTuple",
          "Nothing",
          "Number",
          "OrdinalRange",
          "OutOfMemoryError",
          "OverflowError",
          "Pair",
          "PartialQuickSort",
          "PermutedDimsArray",
          "Pipe",
          "ProcessFailedException",
          "Ptr",
          "QuoteNode",
          "Rational",
          "RawFD",
          "ReadOnlyMemoryError",
          "Real",
          "ReentrantLock",
          "Ref",
          "Regex",
          "RegexMatch",
          "RoundingMode",
          "SegmentationFault",
          "Set",
          "Signed",
          "Some",
          "StackOverflowError",
          "StepRange",
          "StepRangeLen",
          "StridedArray",
          "StridedMatrix",
          "StridedVecOrMat",
          "StridedVector",
          "String",
          "StringIndexError",
          "SubArray",
          "SubString",
          "SubstitutionString",
          "Symbol",
          "SystemError",
          "Task",
          "TaskFailedException",
          "Text",
          "TextDisplay",
          "Timer",
          "Tuple",
          "Type",
          "TypeError",
          "TypeVar",
          "UInt",
          "UInt128",
          "UInt16",
          "UInt32",
          "UInt64",
          "UInt8",
          "UndefInitializer",
          "UndefKeywordError",
          "UndefRefError",
          "UndefVarError",
          "Union",
          "UnionAll",
          "UnitRange",
          "Unsigned",
          "Val",
          "Vararg",
          "VecElement",
          "VecOrMat",
          "Vector",
          "VersionNumber",
          "WeakKeyDict",
          "WeakRef"
        ];
        const KEYWORDS = {
          $pattern: VARIABLE_NAME_RE,
          keyword: KEYWORD_LIST,
          literal: LITERAL_LIST,
          built_in: BUILT_IN_LIST
        };
        const DEFAULT = {
          keywords: KEYWORDS,
          illegal: /<\//
        };
        const NUMBER = {
          className: "number",
          // supported numeric literals:
          //  * binary literal (e.g. 0x10)
          //  * octal literal (e.g. 0o76543210)
          //  * hexadecimal literal (e.g. 0xfedcba876543210)
          //  * hexadecimal floating point literal (e.g. 0x1p0, 0x1.2p2)
          //  * decimal literal (e.g. 9876543210, 100_000_000)
          //  * floating pointe literal (e.g. 1.2, 1.2f, .2, 1., 1.2e10, 1.2e-10)
          begin: /(\b0x[\d_]*(\.[\d_]*)?|0x\.\d[\d_]*)p[-+]?\d+|\b0[box][a-fA-F0-9][a-fA-F0-9_]*|(\b\d[\d_]*(\.[\d_]*)?|\.\d[\d_]*)([eEfF][-+]?\d+)?/,
          relevance: 0
        };
        const CHAR = {
          className: "string",
          begin: /'(.|\\[xXuU][a-zA-Z0-9]+)'/
        };
        const INTERPOLATION = {
          className: "subst",
          begin: /\$\(/,
          end: /\)/,
          keywords: KEYWORDS
        };
        const INTERPOLATED_VARIABLE = {
          className: "variable",
          begin: "\\$" + VARIABLE_NAME_RE
        };
        const STRING = {
          className: "string",
          contains: [
            hljs.BACKSLASH_ESCAPE,
            INTERPOLATION,
            INTERPOLATED_VARIABLE
          ],
          variants: [
            {
              begin: /\w*"""/,
              end: /"""\w*/,
              relevance: 10
            },
            {
              begin: /\w*"/,
              end: /"\w*/
            }
          ]
        };
        const COMMAND = {
          className: "string",
          contains: [
            hljs.BACKSLASH_ESCAPE,
            INTERPOLATION,
            INTERPOLATED_VARIABLE
          ],
          begin: "`",
          end: "`"
        };
        const MACROCALL = {
          className: "meta",
          begin: "@" + VARIABLE_NAME_RE
        };
        const COMMENT = {
          className: "comment",
          variants: [
            {
              begin: "#=",
              end: "=#",
              relevance: 10
            },
            {
              begin: "#",
              end: "$"
            }
          ]
        };
        DEFAULT.name = "Julia";
        DEFAULT.contains = [
          NUMBER,
          CHAR,
          STRING,
          COMMAND,
          MACROCALL,
          COMMENT,
          hljs.HASH_COMMENT_MODE,
          {
            className: "keyword",
            begin: "\\b(((abstract|primitive)\\s+)type|(mutable\\s+)?struct)\\b"
          },
          { begin: /<:/ }
          // relevance booster
        ];
        INTERPOLATION.contains = DEFAULT.contains;
        return DEFAULT;
      }
      module.exports = julia;
    }
  });

  // node_modules/highlight.js/lib/languages/julia-repl.js
  var require_julia_repl = __commonJS({
    "node_modules/highlight.js/lib/languages/julia-repl.js"(exports, module) {
      function juliaRepl(hljs) {
        return {
          name: "Julia REPL",
          contains: [
            {
              className: "meta.prompt",
              begin: /^julia>/,
              relevance: 10,
              starts: {
                // end the highlighting if we are on a new line and the line does not have at
                // least six spaces in the beginning
                end: /^(?![ ]{6})/,
                subLanguage: "julia"
              }
            }
          ],
          // jldoctest Markdown blocks are used in the Julia manual and package docs indicate
          // code snippets that should be verified when the documentation is built. They can be
          // either REPL-like or script-like, but are usually REPL-like and therefore we apply
          // julia-repl highlighting to them. More information can be found in Documenter's
          // manual: https://juliadocs.github.io/Documenter.jl/latest/man/doctests.html
          aliases: ["jldoctest"]
        };
      }
      module.exports = juliaRepl;
    }
  });

  // node_modules/highlight.js/lib/languages/kotlin.js
  var require_kotlin = __commonJS({
    "node_modules/highlight.js/lib/languages/kotlin.js"(exports, module) {
      var decimalDigits = "[0-9](_*[0-9])*";
      var frac = `\\.(${decimalDigits})`;
      var hexDigits = "[0-9a-fA-F](_*[0-9a-fA-F])*";
      var NUMERIC = {
        className: "number",
        variants: [
          // DecimalFloatingPointLiteral
          // including ExponentPart
          { begin: `(\\b(${decimalDigits})((${frac})|\\.)?|(${frac}))[eE][+-]?(${decimalDigits})[fFdD]?\\b` },
          // excluding ExponentPart
          { begin: `\\b(${decimalDigits})((${frac})[fFdD]?\\b|\\.([fFdD]\\b)?)` },
          { begin: `(${frac})[fFdD]?\\b` },
          { begin: `\\b(${decimalDigits})[fFdD]\\b` },
          // HexadecimalFloatingPointLiteral
          { begin: `\\b0[xX]((${hexDigits})\\.?|(${hexDigits})?\\.(${hexDigits}))[pP][+-]?(${decimalDigits})[fFdD]?\\b` },
          // DecimalIntegerLiteral
          { begin: "\\b(0|[1-9](_*[0-9])*)[lL]?\\b" },
          // HexIntegerLiteral
          { begin: `\\b0[xX](${hexDigits})[lL]?\\b` },
          // OctalIntegerLiteral
          { begin: "\\b0(_*[0-7])*[lL]?\\b" },
          // BinaryIntegerLiteral
          { begin: "\\b0[bB][01](_*[01])*[lL]?\\b" }
        ],
        relevance: 0
      };
      function kotlin(hljs) {
        const KEYWORDS = {
          keyword: "abstract as val var vararg get set class object open private protected public noinline crossinline dynamic final enum if else do while for when throw try catch finally import package is in fun override companion reified inline lateinit init interface annotation data sealed internal infix operator out by constructor super tailrec where const inner suspend typealias external expect actual",
          built_in: "Byte Short Char Int Long Boolean Float Double Void Unit Nothing",
          literal: "true false null"
        };
        const KEYWORDS_WITH_LABEL = {
          className: "keyword",
          begin: /\b(break|continue|return|this)\b/,
          starts: { contains: [
            {
              className: "symbol",
              begin: /@\w+/
            }
          ] }
        };
        const LABEL = {
          className: "symbol",
          begin: hljs.UNDERSCORE_IDENT_RE + "@"
        };
        const SUBST = {
          className: "subst",
          begin: /\$\{/,
          end: /\}/,
          contains: [hljs.C_NUMBER_MODE]
        };
        const VARIABLE = {
          className: "variable",
          begin: "\\$" + hljs.UNDERSCORE_IDENT_RE
        };
        const STRING = {
          className: "string",
          variants: [
            {
              begin: '"""',
              end: '"""(?=[^"])',
              contains: [
                VARIABLE,
                SUBST
              ]
            },
            // Can't use built-in modes easily, as we want to use STRING in the meta
            // context as 'meta-string' and there's no syntax to remove explicitly set
            // classNames in built-in modes.
            {
              begin: "'",
              end: "'",
              illegal: /\n/,
              contains: [hljs.BACKSLASH_ESCAPE]
            },
            {
              begin: '"',
              end: '"',
              illegal: /\n/,
              contains: [
                hljs.BACKSLASH_ESCAPE,
                VARIABLE,
                SUBST
              ]
            }
          ]
        };
        SUBST.contains.push(STRING);
        const ANNOTATION_USE_SITE = {
          className: "meta",
          begin: "@(?:file|property|field|get|set|receiver|param|setparam|delegate)\\s*:(?:\\s*" + hljs.UNDERSCORE_IDENT_RE + ")?"
        };
        const ANNOTATION = {
          className: "meta",
          begin: "@" + hljs.UNDERSCORE_IDENT_RE,
          contains: [
            {
              begin: /\(/,
              end: /\)/,
              contains: [
                hljs.inherit(STRING, { className: "string" }),
                "self"
              ]
            }
          ]
        };
        const KOTLIN_NUMBER_MODE = NUMERIC;
        const KOTLIN_NESTED_COMMENT = hljs.COMMENT(
          "/\\*",
          "\\*/",
          { contains: [hljs.C_BLOCK_COMMENT_MODE] }
        );
        const KOTLIN_PAREN_TYPE = { variants: [
          {
            className: "type",
            begin: hljs.UNDERSCORE_IDENT_RE
          },
          {
            begin: /\(/,
            end: /\)/,
            contains: []
            // defined later
          }
        ] };
        const KOTLIN_PAREN_TYPE2 = KOTLIN_PAREN_TYPE;
        KOTLIN_PAREN_TYPE2.variants[1].contains = [KOTLIN_PAREN_TYPE];
        KOTLIN_PAREN_TYPE.variants[1].contains = [KOTLIN_PAREN_TYPE2];
        return {
          name: "Kotlin",
          aliases: [
            "kt",
            "kts"
          ],
          keywords: KEYWORDS,
          contains: [
            hljs.COMMENT(
              "/\\*\\*",
              "\\*/",
              {
                relevance: 0,
                contains: [
                  {
                    className: "doctag",
                    begin: "@[A-Za-z]+"
                  }
                ]
              }
            ),
            hljs.C_LINE_COMMENT_MODE,
            KOTLIN_NESTED_COMMENT,
            KEYWORDS_WITH_LABEL,
            LABEL,
            ANNOTATION_USE_SITE,
            ANNOTATION,
            {
              className: "function",
              beginKeywords: "fun",
              end: "[(]|$",
              returnBegin: true,
              excludeEnd: true,
              keywords: KEYWORDS,
              relevance: 5,
              contains: [
                {
                  begin: hljs.UNDERSCORE_IDENT_RE + "\\s*\\(",
                  returnBegin: true,
                  relevance: 0,
                  contains: [hljs.UNDERSCORE_TITLE_MODE]
                },
                {
                  className: "type",
                  begin: /</,
                  end: />/,
                  keywords: "reified",
                  relevance: 0
                },
                {
                  className: "params",
                  begin: /\(/,
                  end: /\)/,
                  endsParent: true,
                  keywords: KEYWORDS,
                  relevance: 0,
                  contains: [
                    {
                      begin: /:/,
                      end: /[=,\/]/,
                      endsWithParent: true,
                      contains: [
                        KOTLIN_PAREN_TYPE,
                        hljs.C_LINE_COMMENT_MODE,
                        KOTLIN_NESTED_COMMENT
                      ],
                      relevance: 0
                    },
                    hljs.C_LINE_COMMENT_MODE,
                    KOTLIN_NESTED_COMMENT,
                    ANNOTATION_USE_SITE,
                    ANNOTATION,
                    STRING,
                    hljs.C_NUMBER_MODE
                  ]
                },
                KOTLIN_NESTED_COMMENT
              ]
            },
            {
              begin: [
                /class|interface|trait/,
                /\s+/,
                hljs.UNDERSCORE_IDENT_RE
              ],
              beginScope: {
                3: "title.class"
              },
              keywords: "class interface trait",
              end: /[:\{(]|$/,
              excludeEnd: true,
              illegal: "extends implements",
              contains: [
                { beginKeywords: "public protected internal private constructor" },
                hljs.UNDERSCORE_TITLE_MODE,
                {
                  className: "type",
                  begin: /</,
                  end: />/,
                  excludeBegin: true,
                  excludeEnd: true,
                  relevance: 0
                },
                {
                  className: "type",
                  begin: /[,:]\s*/,
                  end: /[<\(,){\s]|$/,
                  excludeBegin: true,
                  returnEnd: true
                },
                ANNOTATION_USE_SITE,
                ANNOTATION
              ]
            },
            STRING,
            {
              className: "meta",
              begin: "^#!/usr/bin/env",
              end: "$",
              illegal: "\n"
            },
            KOTLIN_NUMBER_MODE
          ]
        };
      }
      module.exports = kotlin;
    }
  });

  // node_modules/highlight.js/lib/languages/lasso.js
  var require_lasso = __commonJS({
    "node_modules/highlight.js/lib/languages/lasso.js"(exports, module) {
      function lasso(hljs) {
        const LASSO_IDENT_RE = "[a-zA-Z_][\\w.]*";
        const LASSO_ANGLE_RE = "<\\?(lasso(script)?|=)";
        const LASSO_CLOSE_RE = "\\]|\\?>";
        const LASSO_KEYWORDS = {
          $pattern: LASSO_IDENT_RE + "|&[lg]t;",
          literal: "true false none minimal full all void and or not bw nbw ew new cn ncn lt lte gt gte eq neq rx nrx ft",
          built_in: "array date decimal duration integer map pair string tag xml null boolean bytes keyword list locale queue set stack staticarray local var variable global data self inherited currentcapture givenblock",
          keyword: "cache database_names database_schemanames database_tablenames define_tag define_type email_batch encode_set html_comment handle handle_error header if inline iterate ljax_target link link_currentaction link_currentgroup link_currentrecord link_detail link_firstgroup link_firstrecord link_lastgroup link_lastrecord link_nextgroup link_nextrecord link_prevgroup link_prevrecord log loop namespace_using output_none portal private protect records referer referrer repeating resultset rows search_args search_arguments select sort_args sort_arguments thread_atomic value_list while abort case else fail_if fail_ifnot fail if_empty if_false if_null if_true loop_abort loop_continue loop_count params params_up return return_value run_children soap_definetag soap_lastrequest soap_lastresponse tag_name ascending average by define descending do equals frozen group handle_failure import in into join let match max min on order parent protected provide public require returnhome skip split_thread sum take thread to trait type where with yield yieldhome"
        };
        const HTML_COMMENT = hljs.COMMENT(
          "<!--",
          "-->",
          { relevance: 0 }
        );
        const LASSO_NOPROCESS = {
          className: "meta",
          begin: "\\[noprocess\\]",
          starts: {
            end: "\\[/noprocess\\]",
            returnEnd: true,
            contains: [HTML_COMMENT]
          }
        };
        const LASSO_START = {
          className: "meta",
          begin: "\\[/noprocess|" + LASSO_ANGLE_RE
        };
        const LASSO_DATAMEMBER = {
          className: "symbol",
          begin: "'" + LASSO_IDENT_RE + "'"
        };
        const LASSO_CODE = [
          hljs.C_LINE_COMMENT_MODE,
          hljs.C_BLOCK_COMMENT_MODE,
          hljs.inherit(hljs.C_NUMBER_MODE, { begin: hljs.C_NUMBER_RE + "|(-?infinity|NaN)\\b" }),
          hljs.inherit(hljs.APOS_STRING_MODE, { illegal: null }),
          hljs.inherit(hljs.QUOTE_STRING_MODE, { illegal: null }),
          {
            className: "string",
            begin: "`",
            end: "`"
          },
          {
            // variables
            variants: [
              { begin: "[#$]" + LASSO_IDENT_RE },
              {
                begin: "#",
                end: "\\d+",
                illegal: "\\W"
              }
            ]
          },
          {
            className: "type",
            begin: "::\\s*",
            end: LASSO_IDENT_RE,
            illegal: "\\W"
          },
          {
            className: "params",
            variants: [
              {
                begin: "-(?!infinity)" + LASSO_IDENT_RE,
                relevance: 0
              },
              { begin: "(\\.\\.\\.)" }
            ]
          },
          {
            begin: /(->|\.)\s*/,
            relevance: 0,
            contains: [LASSO_DATAMEMBER]
          },
          {
            className: "class",
            beginKeywords: "define",
            returnEnd: true,
            end: "\\(|=>",
            contains: [hljs.inherit(hljs.TITLE_MODE, { begin: LASSO_IDENT_RE + "(=(?!>))?|[-+*/%](?!>)" })]
          }
        ];
        return {
          name: "Lasso",
          aliases: [
            "ls",
            "lassoscript"
          ],
          case_insensitive: true,
          keywords: LASSO_KEYWORDS,
          contains: [
            {
              className: "meta",
              begin: LASSO_CLOSE_RE,
              relevance: 0,
              starts: {
                // markup
                end: "\\[|" + LASSO_ANGLE_RE,
                returnEnd: true,
                relevance: 0,
                contains: [HTML_COMMENT]
              }
            },
            LASSO_NOPROCESS,
            LASSO_START,
            {
              className: "meta",
              begin: "\\[no_square_brackets",
              starts: {
                end: "\\[/no_square_brackets\\]",
                // not implemented in the language
                keywords: LASSO_KEYWORDS,
                contains: [
                  {
                    className: "meta",
                    begin: LASSO_CLOSE_RE,
                    relevance: 0,
                    starts: {
                      end: "\\[noprocess\\]|" + LASSO_ANGLE_RE,
                      returnEnd: true,
                      contains: [HTML_COMMENT]
                    }
                  },
                  LASSO_NOPROCESS,
                  LASSO_START
                ].concat(LASSO_CODE)
              }
            },
            {
              className: "meta",
              begin: "\\[",
              relevance: 0
            },
            {
              className: "meta",
              begin: "^#!",
              end: "lasso9$",
              relevance: 10
            }
          ].concat(LASSO_CODE)
        };
      }
      module.exports = lasso;
    }
  });

  // node_modules/highlight.js/lib/languages/latex.js
  var require_latex = __commonJS({
    "node_modules/highlight.js/lib/languages/latex.js"(exports, module) {
      function latex(hljs) {
        const regex = hljs.regex;
        const KNOWN_CONTROL_WORDS = regex.either(...[
          "(?:NeedsTeXFormat|RequirePackage|GetIdInfo)",
          "Provides(?:Expl)?(?:Package|Class|File)",
          "(?:DeclareOption|ProcessOptions)",
          "(?:documentclass|usepackage|input|include)",
          "makeat(?:letter|other)",
          "ExplSyntax(?:On|Off)",
          "(?:new|renew|provide)?command",
          "(?:re)newenvironment",
          "(?:New|Renew|Provide|Declare)(?:Expandable)?DocumentCommand",
          "(?:New|Renew|Provide|Declare)DocumentEnvironment",
          "(?:(?:e|g|x)?def|let)",
          "(?:begin|end)",
          "(?:part|chapter|(?:sub){0,2}section|(?:sub)?paragraph)",
          "caption",
          "(?:label|(?:eq|page|name)?ref|(?:paren|foot|super)?cite)",
          "(?:alpha|beta|[Gg]amma|[Dd]elta|(?:var)?epsilon|zeta|eta|[Tt]heta|vartheta)",
          "(?:iota|(?:var)?kappa|[Ll]ambda|mu|nu|[Xx]i|[Pp]i|varpi|(?:var)rho)",
          "(?:[Ss]igma|varsigma|tau|[Uu]psilon|[Pp]hi|varphi|chi|[Pp]si|[Oo]mega)",
          "(?:frac|sum|prod|lim|infty|times|sqrt|leq|geq|left|right|middle|[bB]igg?)",
          "(?:[lr]angle|q?quad|[lcvdi]?dots|d?dot|hat|tilde|bar)"
        ].map((word) => word + "(?![a-zA-Z@:_])"));
        const L3_REGEX = new RegExp([
          // A function \module_function_name:signature or \__module_function_name:signature,
          // where both module and function_name need at least two characters and
          // function_name may contain single underscores.
          "(?:__)?[a-zA-Z]{2,}_[a-zA-Z](?:_?[a-zA-Z])+:[a-zA-Z]*",
          // A variable \scope_module_and_name_type or \scope__module_ane_name_type,
          // where scope is one of l, g or c, type needs at least two characters
          // and module_and_name may contain single underscores.
          "[lgc]__?[a-zA-Z](?:_?[a-zA-Z])*_[a-zA-Z]{2,}",
          // A quark \q_the_name or \q__the_name or
          // scan mark \s_the_name or \s__vthe_name,
          // where variable_name needs at least two characters and
          // may contain single underscores.
          "[qs]__?[a-zA-Z](?:_?[a-zA-Z])+",
          // Other LaTeX3 macro names that are not covered by the three rules above.
          "use(?:_i)?:[a-zA-Z]*",
          "(?:else|fi|or):",
          "(?:if|cs|exp):w",
          "(?:hbox|vbox):n",
          "::[a-zA-Z]_unbraced",
          "::[a-zA-Z:]"
        ].map((pattern) => pattern + "(?![a-zA-Z:_])").join("|"));
        const L2_VARIANTS = [
          { begin: /[a-zA-Z@]+/ },
          // control word
          { begin: /[^a-zA-Z@]?/ }
          // control symbol
        ];
        const DOUBLE_CARET_VARIANTS = [
          { begin: /\^{6}[0-9a-f]{6}/ },
          { begin: /\^{5}[0-9a-f]{5}/ },
          { begin: /\^{4}[0-9a-f]{4}/ },
          { begin: /\^{3}[0-9a-f]{3}/ },
          { begin: /\^{2}[0-9a-f]{2}/ },
          { begin: /\^{2}[\u0000-\u007f]/ }
        ];
        const CONTROL_SEQUENCE = {
          className: "keyword",
          begin: /\\/,
          relevance: 0,
          contains: [
            {
              endsParent: true,
              begin: KNOWN_CONTROL_WORDS
            },
            {
              endsParent: true,
              begin: L3_REGEX
            },
            {
              endsParent: true,
              variants: DOUBLE_CARET_VARIANTS
            },
            {
              endsParent: true,
              relevance: 0,
              variants: L2_VARIANTS
            }
          ]
        };
        const MACRO_PARAM = {
          className: "params",
          relevance: 0,
          begin: /#+\d?/
        };
        const DOUBLE_CARET_CHAR = {
          // relevance: 1
          variants: DOUBLE_CARET_VARIANTS
        };
        const SPECIAL_CATCODE = {
          className: "built_in",
          relevance: 0,
          begin: /[$&^_]/
        };
        const MAGIC_COMMENT = {
          className: "meta",
          begin: /% ?!(T[eE]X|tex|BIB|bib)/,
          end: "$",
          relevance: 10
        };
        const COMMENT = hljs.COMMENT(
          "%",
          "$",
          { relevance: 0 }
        );
        const EVERYTHING_BUT_VERBATIM = [
          CONTROL_SEQUENCE,
          MACRO_PARAM,
          DOUBLE_CARET_CHAR,
          SPECIAL_CATCODE,
          MAGIC_COMMENT,
          COMMENT
        ];
        const BRACE_GROUP_NO_VERBATIM = {
          begin: /\{/,
          end: /\}/,
          relevance: 0,
          contains: [
            "self",
            ...EVERYTHING_BUT_VERBATIM
          ]
        };
        const ARGUMENT_BRACES = hljs.inherit(
          BRACE_GROUP_NO_VERBATIM,
          {
            relevance: 0,
            endsParent: true,
            contains: [
              BRACE_GROUP_NO_VERBATIM,
              ...EVERYTHING_BUT_VERBATIM
            ]
          }
        );
        const ARGUMENT_BRACKETS = {
          begin: /\[/,
          end: /\]/,
          endsParent: true,
          relevance: 0,
          contains: [
            BRACE_GROUP_NO_VERBATIM,
            ...EVERYTHING_BUT_VERBATIM
          ]
        };
        const SPACE_GOBBLER = {
          begin: /\s+/,
          relevance: 0
        };
        const ARGUMENT_M = [ARGUMENT_BRACES];
        const ARGUMENT_O = [ARGUMENT_BRACKETS];
        const ARGUMENT_AND_THEN = function(arg, starts_mode) {
          return {
            contains: [SPACE_GOBBLER],
            starts: {
              relevance: 0,
              contains: arg,
              starts: starts_mode
            }
          };
        };
        const CSNAME = function(csname, starts_mode) {
          return {
            begin: "\\\\" + csname + "(?![a-zA-Z@:_])",
            keywords: {
              $pattern: /\\[a-zA-Z]+/,
              keyword: "\\" + csname
            },
            relevance: 0,
            contains: [SPACE_GOBBLER],
            starts: starts_mode
          };
        };
        const BEGIN_ENV = function(envname, starts_mode) {
          return hljs.inherit(
            {
              begin: "\\\\begin(?=[ 	]*(\\r?\\n[ 	]*)?\\{" + envname + "\\})",
              keywords: {
                $pattern: /\\[a-zA-Z]+/,
                keyword: "\\begin"
              },
              relevance: 0
            },
            ARGUMENT_AND_THEN(ARGUMENT_M, starts_mode)
          );
        };
        const VERBATIM_DELIMITED_EQUAL = (innerName = "string") => {
          return hljs.END_SAME_AS_BEGIN({
            className: innerName,
            begin: /(.|\r?\n)/,
            end: /(.|\r?\n)/,
            excludeBegin: true,
            excludeEnd: true,
            endsParent: true
          });
        };
        const VERBATIM_DELIMITED_ENV = function(envname) {
          return {
            className: "string",
            end: "(?=\\\\end\\{" + envname + "\\})"
          };
        };
        const VERBATIM_DELIMITED_BRACES = (innerName = "string") => {
          return {
            relevance: 0,
            begin: /\{/,
            starts: {
              endsParent: true,
              contains: [
                {
                  className: innerName,
                  end: /(?=\})/,
                  endsParent: true,
                  contains: [
                    {
                      begin: /\{/,
                      end: /\}/,
                      relevance: 0,
                      contains: ["self"]
                    }
                  ]
                }
              ]
            }
          };
        };
        const VERBATIM = [
          ...[
            "verb",
            "lstinline"
          ].map((csname) => CSNAME(csname, { contains: [VERBATIM_DELIMITED_EQUAL()] })),
          CSNAME("mint", ARGUMENT_AND_THEN(ARGUMENT_M, { contains: [VERBATIM_DELIMITED_EQUAL()] })),
          CSNAME("mintinline", ARGUMENT_AND_THEN(ARGUMENT_M, { contains: [
            VERBATIM_DELIMITED_BRACES(),
            VERBATIM_DELIMITED_EQUAL()
          ] })),
          CSNAME("url", { contains: [
            VERBATIM_DELIMITED_BRACES("link"),
            VERBATIM_DELIMITED_BRACES("link")
          ] }),
          CSNAME("hyperref", { contains: [VERBATIM_DELIMITED_BRACES("link")] }),
          CSNAME("href", ARGUMENT_AND_THEN(ARGUMENT_O, { contains: [VERBATIM_DELIMITED_BRACES("link")] })),
          ...[].concat(...[
            "",
            "\\*"
          ].map((suffix) => [
            BEGIN_ENV("verbatim" + suffix, VERBATIM_DELIMITED_ENV("verbatim" + suffix)),
            BEGIN_ENV("filecontents" + suffix, ARGUMENT_AND_THEN(ARGUMENT_M, VERBATIM_DELIMITED_ENV("filecontents" + suffix))),
            ...[
              "",
              "B",
              "L"
            ].map(
              (prefix) => BEGIN_ENV(prefix + "Verbatim" + suffix, ARGUMENT_AND_THEN(ARGUMENT_O, VERBATIM_DELIMITED_ENV(prefix + "Verbatim" + suffix)))
            )
          ])),
          BEGIN_ENV("minted", ARGUMENT_AND_THEN(ARGUMENT_O, ARGUMENT_AND_THEN(ARGUMENT_M, VERBATIM_DELIMITED_ENV("minted"))))
        ];
        return {
          name: "LaTeX",
          aliases: ["tex"],
          contains: [
            ...VERBATIM,
            ...EVERYTHING_BUT_VERBATIM
          ]
        };
      }
      module.exports = latex;
    }
  });

  // node_modules/highlight.js/lib/languages/ldif.js
  var require_ldif = __commonJS({
    "node_modules/highlight.js/lib/languages/ldif.js"(exports, module) {
      function ldif(hljs) {
        return {
          name: "LDIF",
          contains: [
            {
              className: "attribute",
              match: "^dn(?=:)",
              relevance: 10
            },
            {
              className: "attribute",
              match: "^\\w+(?=:)"
            },
            {
              className: "literal",
              match: "^-"
            },
            hljs.HASH_COMMENT_MODE
          ]
        };
      }
      module.exports = ldif;
    }
  });

  // node_modules/highlight.js/lib/languages/leaf.js
  var require_leaf = __commonJS({
    "node_modules/highlight.js/lib/languages/leaf.js"(exports, module) {
      function leaf(hljs) {
        const IDENT = /([A-Za-z_][A-Za-z_0-9]*)?/;
        const LITERALS = [
          "true",
          "false",
          "in"
        ];
        const PARAMS = {
          scope: "params",
          begin: /\(/,
          end: /\)(?=\:?)/,
          endsParent: true,
          relevance: 7,
          contains: [
            {
              scope: "string",
              begin: '"',
              end: '"'
            },
            {
              scope: "keyword",
              match: LITERALS.join("|")
            },
            {
              scope: "variable",
              match: /[A-Za-z_][A-Za-z_0-9]*/
            },
            {
              scope: "operator",
              match: /\+|\-|\*|\/|\%|\=\=|\=|\!|\>|\<|\&\&|\|\|/
            }
          ]
        };
        const INSIDE_DISPATCH = {
          match: [
            IDENT,
            /(?=\()/
          ],
          scope: {
            1: "keyword"
          },
          contains: [PARAMS]
        };
        PARAMS.contains.unshift(INSIDE_DISPATCH);
        return {
          name: "Leaf",
          contains: [
            // #ident():
            {
              match: [
                /#+/,
                IDENT,
                /(?=\()/
              ],
              scope: {
                1: "punctuation",
                2: "keyword"
              },
              // will start up after the ending `)` match from line ~44
              // just to grab the trailing `:` if we can match it
              starts: {
                contains: [
                  {
                    match: /\:/,
                    scope: "punctuation"
                  }
                ]
              },
              contains: [
                PARAMS
              ]
            },
            // #ident or #ident:
            {
              match: [
                /#+/,
                IDENT,
                /:?/
              ],
              scope: {
                1: "punctuation",
                2: "keyword",
                3: "punctuation"
              }
            }
          ]
        };
      }
      module.exports = leaf;
    }
  });

  // node_modules/highlight.js/lib/languages/less.js
  var require_less = __commonJS({
    "node_modules/highlight.js/lib/languages/less.js"(exports, module) {
      var MODES = (hljs) => {
        return {
          IMPORTANT: {
            scope: "meta",
            begin: "!important"
          },
          BLOCK_COMMENT: hljs.C_BLOCK_COMMENT_MODE,
          HEXCOLOR: {
            scope: "number",
            begin: /#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/
          },
          FUNCTION_DISPATCH: {
            className: "built_in",
            begin: /[\w-]+(?=\()/
          },
          ATTRIBUTE_SELECTOR_MODE: {
            scope: "selector-attr",
            begin: /\[/,
            end: /\]/,
            illegal: "$",
            contains: [
              hljs.APOS_STRING_MODE,
              hljs.QUOTE_STRING_MODE
            ]
          },
          CSS_NUMBER_MODE: {
            scope: "number",
            begin: hljs.NUMBER_RE + "(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",
            relevance: 0
          },
          CSS_VARIABLE: {
            className: "attr",
            begin: /--[A-Za-z_][A-Za-z0-9_-]*/
          }
        };
      };
      var HTML_TAGS = [
        "a",
        "abbr",
        "address",
        "article",
        "aside",
        "audio",
        "b",
        "blockquote",
        "body",
        "button",
        "canvas",
        "caption",
        "cite",
        "code",
        "dd",
        "del",
        "details",
        "dfn",
        "div",
        "dl",
        "dt",
        "em",
        "fieldset",
        "figcaption",
        "figure",
        "footer",
        "form",
        "h1",
        "h2",
        "h3",
        "h4",
        "h5",
        "h6",
        "header",
        "hgroup",
        "html",
        "i",
        "iframe",
        "img",
        "input",
        "ins",
        "kbd",
        "label",
        "legend",
        "li",
        "main",
        "mark",
        "menu",
        "nav",
        "object",
        "ol",
        "optgroup",
        "option",
        "p",
        "picture",
        "q",
        "quote",
        "samp",
        "section",
        "select",
        "source",
        "span",
        "strong",
        "summary",
        "sup",
        "table",
        "tbody",
        "td",
        "textarea",
        "tfoot",
        "th",
        "thead",
        "time",
        "tr",
        "ul",
        "var",
        "video"
      ];
      var SVG_TAGS = [
        "defs",
        "g",
        "marker",
        "mask",
        "pattern",
        "svg",
        "switch",
        "symbol",
        "feBlend",
        "feColorMatrix",
        "feComponentTransfer",
        "feComposite",
        "feConvolveMatrix",
        "feDiffuseLighting",
        "feDisplacementMap",
        "feFlood",
        "feGaussianBlur",
        "feImage",
        "feMerge",
        "feMorphology",
        "feOffset",
        "feSpecularLighting",
        "feTile",
        "feTurbulence",
        "linearGradient",
        "radialGradient",
        "stop",
        "circle",
        "ellipse",
        "image",
        "line",
        "path",
        "polygon",
        "polyline",
        "rect",
        "text",
        "use",
        "textPath",
        "tspan",
        "foreignObject",
        "clipPath"
      ];
      var TAGS = [
        ...HTML_TAGS,
        ...SVG_TAGS
      ];
      var MEDIA_FEATURES = [
        "any-hover",
        "any-pointer",
        "aspect-ratio",
        "color",
        "color-gamut",
        "color-index",
        "device-aspect-ratio",
        "device-height",
        "device-width",
        "display-mode",
        "forced-colors",
        "grid",
        "height",
        "hover",
        "inverted-colors",
        "monochrome",
        "orientation",
        "overflow-block",
        "overflow-inline",
        "pointer",
        "prefers-color-scheme",
        "prefers-contrast",
        "prefers-reduced-motion",
        "prefers-reduced-transparency",
        "resolution",
        "scan",
        "scripting",
        "update",
        "width",
        // TODO: find a better solution?
        "min-width",
        "max-width",
        "min-height",
        "max-height"
      ].sort().reverse();
      var PSEUDO_CLASSES = [
        "active",
        "any-link",
        "blank",
        "checked",
        "current",
        "default",
        "defined",
        "dir",
        // dir()
        "disabled",
        "drop",
        "empty",
        "enabled",
        "first",
        "first-child",
        "first-of-type",
        "fullscreen",
        "future",
        "focus",
        "focus-visible",
        "focus-within",
        "has",
        // has()
        "host",
        // host or host()
        "host-context",
        // host-context()
        "hover",
        "indeterminate",
        "in-range",
        "invalid",
        "is",
        // is()
        "lang",
        // lang()
        "last-child",
        "last-of-type",
        "left",
        "link",
        "local-link",
        "not",
        // not()
        "nth-child",
        // nth-child()
        "nth-col",
        // nth-col()
        "nth-last-child",
        // nth-last-child()
        "nth-last-col",
        // nth-last-col()
        "nth-last-of-type",
        //nth-last-of-type()
        "nth-of-type",
        //nth-of-type()
        "only-child",
        "only-of-type",
        "optional",
        "out-of-range",
        "past",
        "placeholder-shown",
        "read-only",
        "read-write",
        "required",
        "right",
        "root",
        "scope",
        "target",
        "target-within",
        "user-invalid",
        "valid",
        "visited",
        "where"
        // where()
      ].sort().reverse();
      var PSEUDO_ELEMENTS = [
        "after",
        "backdrop",
        "before",
        "cue",
        "cue-region",
        "first-letter",
        "first-line",
        "grammar-error",
        "marker",
        "part",
        "placeholder",
        "selection",
        "slotted",
        "spelling-error"
      ].sort().reverse();
      var ATTRIBUTES = [
        "accent-color",
        "align-content",
        "align-items",
        "align-self",
        "alignment-baseline",
        "all",
        "anchor-name",
        "animation",
        "animation-composition",
        "animation-delay",
        "animation-direction",
        "animation-duration",
        "animation-fill-mode",
        "animation-iteration-count",
        "animation-name",
        "animation-play-state",
        "animation-range",
        "animation-range-end",
        "animation-range-start",
        "animation-timeline",
        "animation-timing-function",
        "appearance",
        "aspect-ratio",
        "backdrop-filter",
        "backface-visibility",
        "background",
        "background-attachment",
        "background-blend-mode",
        "background-clip",
        "background-color",
        "background-image",
        "background-origin",
        "background-position",
        "background-position-x",
        "background-position-y",
        "background-repeat",
        "background-size",
        "baseline-shift",
        "block-size",
        "border",
        "border-block",
        "border-block-color",
        "border-block-end",
        "border-block-end-color",
        "border-block-end-style",
        "border-block-end-width",
        "border-block-start",
        "border-block-start-color",
        "border-block-start-style",
        "border-block-start-width",
        "border-block-style",
        "border-block-width",
        "border-bottom",
        "border-bottom-color",
        "border-bottom-left-radius",
        "border-bottom-right-radius",
        "border-bottom-style",
        "border-bottom-width",
        "border-collapse",
        "border-color",
        "border-end-end-radius",
        "border-end-start-radius",
        "border-image",
        "border-image-outset",
        "border-image-repeat",
        "border-image-slice",
        "border-image-source",
        "border-image-width",
        "border-inline",
        "border-inline-color",
        "border-inline-end",
        "border-inline-end-color",
        "border-inline-end-style",
        "border-inline-end-width",
        "border-inline-start",
        "border-inline-start-color",
        "border-inline-start-style",
        "border-inline-start-width",
        "border-inline-style",
        "border-inline-width",
        "border-left",
        "border-left-color",
        "border-left-style",
        "border-left-width",
        "border-radius",
        "border-right",
        "border-right-color",
        "border-right-style",
        "border-right-width",
        "border-spacing",
        "border-start-end-radius",
        "border-start-start-radius",
        "border-style",
        "border-top",
        "border-top-color",
        "border-top-left-radius",
        "border-top-right-radius",
        "border-top-style",
        "border-top-width",
        "border-width",
        "bottom",
        "box-align",
        "box-decoration-break",
        "box-direction",
        "box-flex",
        "box-flex-group",
        "box-lines",
        "box-ordinal-group",
        "box-orient",
        "box-pack",
        "box-shadow",
        "box-sizing",
        "break-after",
        "break-before",
        "break-inside",
        "caption-side",
        "caret-color",
        "clear",
        "clip",
        "clip-path",
        "clip-rule",
        "color",
        "color-interpolation",
        "color-interpolation-filters",
        "color-profile",
        "color-rendering",
        "color-scheme",
        "column-count",
        "column-fill",
        "column-gap",
        "column-rule",
        "column-rule-color",
        "column-rule-style",
        "column-rule-width",
        "column-span",
        "column-width",
        "columns",
        "contain",
        "contain-intrinsic-block-size",
        "contain-intrinsic-height",
        "contain-intrinsic-inline-size",
        "contain-intrinsic-size",
        "contain-intrinsic-width",
        "container",
        "container-name",
        "container-type",
        "content",
        "content-visibility",
        "counter-increment",
        "counter-reset",
        "counter-set",
        "cue",
        "cue-after",
        "cue-before",
        "cursor",
        "cx",
        "cy",
        "direction",
        "display",
        "dominant-baseline",
        "empty-cells",
        "enable-background",
        "field-sizing",
        "fill",
        "fill-opacity",
        "fill-rule",
        "filter",
        "flex",
        "flex-basis",
        "flex-direction",
        "flex-flow",
        "flex-grow",
        "flex-shrink",
        "flex-wrap",
        "float",
        "flood-color",
        "flood-opacity",
        "flow",
        "font",
        "font-display",
        "font-family",
        "font-feature-settings",
        "font-kerning",
        "font-language-override",
        "font-optical-sizing",
        "font-palette",
        "font-size",
        "font-size-adjust",
        "font-smooth",
        "font-smoothing",
        "font-stretch",
        "font-style",
        "font-synthesis",
        "font-synthesis-position",
        "font-synthesis-small-caps",
        "font-synthesis-style",
        "font-synthesis-weight",
        "font-variant",
        "font-variant-alternates",
        "font-variant-caps",
        "font-variant-east-asian",
        "font-variant-emoji",
        "font-variant-ligatures",
        "font-variant-numeric",
        "font-variant-position",
        "font-variation-settings",
        "font-weight",
        "forced-color-adjust",
        "gap",
        "glyph-orientation-horizontal",
        "glyph-orientation-vertical",
        "grid",
        "grid-area",
        "grid-auto-columns",
        "grid-auto-flow",
        "grid-auto-rows",
        "grid-column",
        "grid-column-end",
        "grid-column-start",
        "grid-gap",
        "grid-row",
        "grid-row-end",
        "grid-row-start",
        "grid-template",
        "grid-template-areas",
        "grid-template-columns",
        "grid-template-rows",
        "hanging-punctuation",
        "height",
        "hyphenate-character",
        "hyphenate-limit-chars",
        "hyphens",
        "icon",
        "image-orientation",
        "image-rendering",
        "image-resolution",
        "ime-mode",
        "initial-letter",
        "initial-letter-align",
        "inline-size",
        "inset",
        "inset-area",
        "inset-block",
        "inset-block-end",
        "inset-block-start",
        "inset-inline",
        "inset-inline-end",
        "inset-inline-start",
        "isolation",
        "justify-content",
        "justify-items",
        "justify-self",
        "kerning",
        "left",
        "letter-spacing",
        "lighting-color",
        "line-break",
        "line-height",
        "line-height-step",
        "list-style",
        "list-style-image",
        "list-style-position",
        "list-style-type",
        "margin",
        "margin-block",
        "margin-block-end",
        "margin-block-start",
        "margin-bottom",
        "margin-inline",
        "margin-inline-end",
        "margin-inline-start",
        "margin-left",
        "margin-right",
        "margin-top",
        "margin-trim",
        "marker",
        "marker-end",
        "marker-mid",
        "marker-start",
        "marks",
        "mask",
        "mask-border",
        "mask-border-mode",
        "mask-border-outset",
        "mask-border-repeat",
        "mask-border-slice",
        "mask-border-source",
        "mask-border-width",
        "mask-clip",
        "mask-composite",
        "mask-image",
        "mask-mode",
        "mask-origin",
        "mask-position",
        "mask-repeat",
        "mask-size",
        "mask-type",
        "masonry-auto-flow",
        "math-depth",
        "math-shift",
        "math-style",
        "max-block-size",
        "max-height",
        "max-inline-size",
        "max-width",
        "min-block-size",
        "min-height",
        "min-inline-size",
        "min-width",
        "mix-blend-mode",
        "nav-down",
        "nav-index",
        "nav-left",
        "nav-right",
        "nav-up",
        "none",
        "normal",
        "object-fit",
        "object-position",
        "offset",
        "offset-anchor",
        "offset-distance",
        "offset-path",
        "offset-position",
        "offset-rotate",
        "opacity",
        "order",
        "orphans",
        "outline",
        "outline-color",
        "outline-offset",
        "outline-style",
        "outline-width",
        "overflow",
        "overflow-anchor",
        "overflow-block",
        "overflow-clip-margin",
        "overflow-inline",
        "overflow-wrap",
        "overflow-x",
        "overflow-y",
        "overlay",
        "overscroll-behavior",
        "overscroll-behavior-block",
        "overscroll-behavior-inline",
        "overscroll-behavior-x",
        "overscroll-behavior-y",
        "padding",
        "padding-block",
        "padding-block-end",
        "padding-block-start",
        "padding-bottom",
        "padding-inline",
        "padding-inline-end",
        "padding-inline-start",
        "padding-left",
        "padding-right",
        "padding-top",
        "page",
        "page-break-after",
        "page-break-before",
        "page-break-inside",
        "paint-order",
        "pause",
        "pause-after",
        "pause-before",
        "perspective",
        "perspective-origin",
        "place-content",
        "place-items",
        "place-self",
        "pointer-events",
        "position",
        "position-anchor",
        "position-visibility",
        "print-color-adjust",
        "quotes",
        "r",
        "resize",
        "rest",
        "rest-after",
        "rest-before",
        "right",
        "rotate",
        "row-gap",
        "ruby-align",
        "ruby-position",
        "scale",
        "scroll-behavior",
        "scroll-margin",
        "scroll-margin-block",
        "scroll-margin-block-end",
        "scroll-margin-block-start",
        "scroll-margin-bottom",
        "scroll-margin-inline",
        "scroll-margin-inline-end",
        "scroll-margin-inline-start",
        "scroll-margin-left",
        "scroll-margin-right",
        "scroll-margin-top",
        "scroll-padding",
        "scroll-padding-block",
        "scroll-padding-block-end",
        "scroll-padding-block-start",
        "scroll-padding-bottom",
        "scroll-padding-inline",
        "scroll-padding-inline-end",
        "scroll-padding-inline-start",
        "scroll-padding-left",
        "scroll-padding-right",
        "scroll-padding-top",
        "scroll-snap-align",
        "scroll-snap-stop",
        "scroll-snap-type",
        "scroll-timeline",
        "scroll-timeline-axis",
        "scroll-timeline-name",
        "scrollbar-color",
        "scrollbar-gutter",
        "scrollbar-width",
        "shape-image-threshold",
        "shape-margin",
        "shape-outside",
        "shape-rendering",
        "speak",
        "speak-as",
        "src",
        // @font-face
        "stop-color",
        "stop-opacity",
        "stroke",
        "stroke-dasharray",
        "stroke-dashoffset",
        "stroke-linecap",
        "stroke-linejoin",
        "stroke-miterlimit",
        "stroke-opacity",
        "stroke-width",
        "tab-size",
        "table-layout",
        "text-align",
        "text-align-all",
        "text-align-last",
        "text-anchor",
        "text-combine-upright",
        "text-decoration",
        "text-decoration-color",
        "text-decoration-line",
        "text-decoration-skip",
        "text-decoration-skip-ink",
        "text-decoration-style",
        "text-decoration-thickness",
        "text-emphasis",
        "text-emphasis-color",
        "text-emphasis-position",
        "text-emphasis-style",
        "text-indent",
        "text-justify",
        "text-orientation",
        "text-overflow",
        "text-rendering",
        "text-shadow",
        "text-size-adjust",
        "text-transform",
        "text-underline-offset",
        "text-underline-position",
        "text-wrap",
        "text-wrap-mode",
        "text-wrap-style",
        "timeline-scope",
        "top",
        "touch-action",
        "transform",
        "transform-box",
        "transform-origin",
        "transform-style",
        "transition",
        "transition-behavior",
        "transition-delay",
        "transition-duration",
        "transition-property",
        "transition-timing-function",
        "translate",
        "unicode-bidi",
        "user-modify",
        "user-select",
        "vector-effect",
        "vertical-align",
        "view-timeline",
        "view-timeline-axis",
        "view-timeline-inset",
        "view-timeline-name",
        "view-transition-name",
        "visibility",
        "voice-balance",
        "voice-duration",
        "voice-family",
        "voice-pitch",
        "voice-range",
        "voice-rate",
        "voice-stress",
        "voice-volume",
        "white-space",
        "white-space-collapse",
        "widows",
        "width",
        "will-change",
        "word-break",
        "word-spacing",
        "word-wrap",
        "writing-mode",
        "x",
        "y",
        "z-index",
        "zoom"
      ].sort().reverse();
      var PSEUDO_SELECTORS = PSEUDO_CLASSES.concat(PSEUDO_ELEMENTS).sort().reverse();
      function less(hljs) {
        const modes = MODES(hljs);
        const PSEUDO_SELECTORS$1 = PSEUDO_SELECTORS;
        const AT_MODIFIERS = "and or not only";
        const IDENT_RE = "[\\w-]+";
        const INTERP_IDENT_RE = "(" + IDENT_RE + "|@\\{" + IDENT_RE + "\\})";
        const RULES = [];
        const VALUE_MODES = [];
        const STRING_MODE = function(c) {
          return {
            // Less strings are not multiline (also include '~' for more consistent coloring of "escaped" strings)
            className: "string",
            begin: "~?" + c + ".*?" + c
          };
        };
        const IDENT_MODE = function(name, begin, relevance) {
          return {
            className: name,
            begin,
            relevance
          };
        };
        const AT_KEYWORDS = {
          $pattern: /[a-z-]+/,
          keyword: AT_MODIFIERS,
          attribute: MEDIA_FEATURES.join(" ")
        };
        const PARENS_MODE = {
          // used only to properly balance nested parens inside mixin call, def. arg list
          begin: "\\(",
          end: "\\)",
          contains: VALUE_MODES,
          keywords: AT_KEYWORDS,
          relevance: 0
        };
        VALUE_MODES.push(
          hljs.C_LINE_COMMENT_MODE,
          hljs.C_BLOCK_COMMENT_MODE,
          STRING_MODE("'"),
          STRING_MODE('"'),
          modes.CSS_NUMBER_MODE,
          // fixme: it does not include dot for numbers like .5em :(
          {
            begin: "(url|data-uri)\\(",
            starts: {
              className: "string",
              end: "[\\)\\n]",
              excludeEnd: true
            }
          },
          modes.HEXCOLOR,
          PARENS_MODE,
          IDENT_MODE("variable", "@@?" + IDENT_RE, 10),
          IDENT_MODE("variable", "@\\{" + IDENT_RE + "\\}"),
          IDENT_MODE("built_in", "~?`[^`]*?`"),
          // inline javascript (or whatever host language) *multiline* string
          {
            // @media features (it’s here to not duplicate things in AT_RULE_MODE with extra PARENS_MODE overriding):
            className: "attribute",
            begin: IDENT_RE + "\\s*:",
            end: ":",
            returnBegin: true,
            excludeEnd: true
          },
          modes.IMPORTANT,
          { beginKeywords: "and not" },
          modes.FUNCTION_DISPATCH
        );
        const VALUE_WITH_RULESETS = VALUE_MODES.concat({
          begin: /\{/,
          end: /\}/,
          contains: RULES
        });
        const MIXIN_GUARD_MODE = {
          beginKeywords: "when",
          endsWithParent: true,
          contains: [{ beginKeywords: "and not" }].concat(VALUE_MODES)
          // using this form to override VALUE’s 'function' match
        };
        const RULE_MODE = {
          begin: INTERP_IDENT_RE + "\\s*:",
          returnBegin: true,
          end: /[;}]/,
          relevance: 0,
          contains: [
            { begin: /-(webkit|moz|ms|o)-/ },
            modes.CSS_VARIABLE,
            {
              className: "attribute",
              begin: "\\b(" + ATTRIBUTES.join("|") + ")\\b",
              end: /(?=:)/,
              starts: {
                endsWithParent: true,
                illegal: "[<=$]",
                relevance: 0,
                contains: VALUE_MODES
              }
            }
          ]
        };
        const AT_RULE_MODE = {
          className: "keyword",
          begin: "@(import|media|charset|font-face|(-[a-z]+-)?keyframes|supports|document|namespace|page|viewport|host)\\b",
          starts: {
            end: "[;{}]",
            keywords: AT_KEYWORDS,
            returnEnd: true,
            contains: VALUE_MODES,
            relevance: 0
          }
        };
        const VAR_RULE_MODE = {
          className: "variable",
          variants: [
            // using more strict pattern for higher relevance to increase chances of Less detection.
            // this is *the only* Less specific statement used in most of the sources, so...
            // (we’ll still often loose to the css-parser unless there's '//' comment,
            // simply because 1 variable just can't beat 99 properties :)
            {
              begin: "@" + IDENT_RE + "\\s*:",
              relevance: 15
            },
            { begin: "@" + IDENT_RE }
          ],
          starts: {
            end: "[;}]",
            returnEnd: true,
            contains: VALUE_WITH_RULESETS
          }
        };
        const SELECTOR_MODE = {
          // first parse unambiguous selectors (i.e. those not starting with tag)
          // then fall into the scary lookahead-discriminator variant.
          // this mode also handles mixin definitions and calls
          variants: [
            {
              begin: "[\\.#:&\\[>]",
              end: "[;{}]"
              // mixin calls end with ';'
            },
            {
              begin: INTERP_IDENT_RE,
              end: /\{/
            }
          ],
          returnBegin: true,
          returnEnd: true,
          illegal: `[<='$"]`,
          relevance: 0,
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            MIXIN_GUARD_MODE,
            IDENT_MODE("keyword", "all\\b"),
            IDENT_MODE("variable", "@\\{" + IDENT_RE + "\\}"),
            // otherwise it’s identified as tag
            {
              begin: "\\b(" + TAGS.join("|") + ")\\b",
              className: "selector-tag"
            },
            modes.CSS_NUMBER_MODE,
            IDENT_MODE("selector-tag", INTERP_IDENT_RE, 0),
            IDENT_MODE("selector-id", "#" + INTERP_IDENT_RE),
            IDENT_MODE("selector-class", "\\." + INTERP_IDENT_RE, 0),
            IDENT_MODE("selector-tag", "&", 0),
            modes.ATTRIBUTE_SELECTOR_MODE,
            {
              className: "selector-pseudo",
              begin: ":(" + PSEUDO_CLASSES.join("|") + ")"
            },
            {
              className: "selector-pseudo",
              begin: ":(:)?(" + PSEUDO_ELEMENTS.join("|") + ")"
            },
            {
              begin: /\(/,
              end: /\)/,
              relevance: 0,
              contains: VALUE_WITH_RULESETS
            },
            // argument list of parametric mixins
            { begin: "!important" },
            // eat !important after mixin call or it will be colored as tag
            modes.FUNCTION_DISPATCH
          ]
        };
        const PSEUDO_SELECTOR_MODE = {
          begin: IDENT_RE + `:(:)?(${PSEUDO_SELECTORS$1.join("|")})`,
          returnBegin: true,
          contains: [SELECTOR_MODE]
        };
        RULES.push(
          hljs.C_LINE_COMMENT_MODE,
          hljs.C_BLOCK_COMMENT_MODE,
          AT_RULE_MODE,
          VAR_RULE_MODE,
          PSEUDO_SELECTOR_MODE,
          RULE_MODE,
          SELECTOR_MODE,
          MIXIN_GUARD_MODE,
          modes.FUNCTION_DISPATCH
        );
        return {
          name: "Less",
          case_insensitive: true,
          illegal: `[=>'/<($"]`,
          contains: RULES
        };
      }
      module.exports = less;
    }
  });

  // node_modules/highlight.js/lib/languages/lisp.js
  var require_lisp = __commonJS({
    "node_modules/highlight.js/lib/languages/lisp.js"(exports, module) {
      function lisp(hljs) {
        const LISP_IDENT_RE = "[a-zA-Z_\\-+\\*\\/<=>&#][a-zA-Z0-9_\\-+*\\/<=>&#!]*";
        const MEC_RE = "\\|[^]*?\\|";
        const LISP_SIMPLE_NUMBER_RE = "(-|\\+)?\\d+(\\.\\d+|\\/\\d+)?((d|e|f|l|s|D|E|F|L|S)(\\+|-)?\\d+)?";
        const LITERAL = {
          className: "literal",
          begin: "\\b(t{1}|nil)\\b"
        };
        const NUMBER = {
          className: "number",
          variants: [
            {
              begin: LISP_SIMPLE_NUMBER_RE,
              relevance: 0
            },
            { begin: "#(b|B)[0-1]+(/[0-1]+)?" },
            { begin: "#(o|O)[0-7]+(/[0-7]+)?" },
            { begin: "#(x|X)[0-9a-fA-F]+(/[0-9a-fA-F]+)?" },
            {
              begin: "#(c|C)\\(" + LISP_SIMPLE_NUMBER_RE + " +" + LISP_SIMPLE_NUMBER_RE,
              end: "\\)"
            }
          ]
        };
        const STRING = hljs.inherit(hljs.QUOTE_STRING_MODE, { illegal: null });
        const COMMENT = hljs.COMMENT(
          ";",
          "$",
          { relevance: 0 }
        );
        const VARIABLE = {
          begin: "\\*",
          end: "\\*"
        };
        const KEYWORD = {
          className: "symbol",
          begin: "[:&]" + LISP_IDENT_RE
        };
        const IDENT = {
          begin: LISP_IDENT_RE,
          relevance: 0
        };
        const MEC = { begin: MEC_RE };
        const QUOTED_LIST = {
          begin: "\\(",
          end: "\\)",
          contains: [
            "self",
            LITERAL,
            STRING,
            NUMBER,
            IDENT
          ]
        };
        const QUOTED = {
          contains: [
            NUMBER,
            STRING,
            VARIABLE,
            KEYWORD,
            QUOTED_LIST,
            IDENT
          ],
          variants: [
            {
              begin: "['`]\\(",
              end: "\\)"
            },
            {
              begin: "\\(quote ",
              end: "\\)",
              keywords: { name: "quote" }
            },
            { begin: "'" + MEC_RE }
          ]
        };
        const QUOTED_ATOM = { variants: [
          { begin: "'" + LISP_IDENT_RE },
          { begin: "#'" + LISP_IDENT_RE + "(::" + LISP_IDENT_RE + ")*" }
        ] };
        const LIST = {
          begin: "\\(\\s*",
          end: "\\)"
        };
        const BODY = {
          endsWithParent: true,
          relevance: 0
        };
        LIST.contains = [
          {
            className: "name",
            variants: [
              {
                begin: LISP_IDENT_RE,
                relevance: 0
              },
              { begin: MEC_RE }
            ]
          },
          BODY
        ];
        BODY.contains = [
          QUOTED,
          QUOTED_ATOM,
          LIST,
          LITERAL,
          NUMBER,
          STRING,
          COMMENT,
          VARIABLE,
          KEYWORD,
          MEC,
          IDENT
        ];
        return {
          name: "Lisp",
          illegal: /\S/,
          contains: [
            NUMBER,
            hljs.SHEBANG(),
            LITERAL,
            STRING,
            COMMENT,
            QUOTED,
            QUOTED_ATOM,
            LIST,
            IDENT
          ]
        };
      }
      module.exports = lisp;
    }
  });

  // node_modules/highlight.js/lib/languages/livecodeserver.js
  var require_livecodeserver = __commonJS({
    "node_modules/highlight.js/lib/languages/livecodeserver.js"(exports, module) {
      function livecodeserver(hljs) {
        const VARIABLE = {
          className: "variable",
          variants: [
            { begin: "\\b([gtps][A-Z]{1}[a-zA-Z0-9]*)(\\[.+\\])?(?:\\s*?)" },
            { begin: "\\$_[A-Z]+" }
          ],
          relevance: 0
        };
        const COMMENT_MODES = [
          hljs.C_BLOCK_COMMENT_MODE,
          hljs.HASH_COMMENT_MODE,
          hljs.COMMENT("--", "$"),
          hljs.COMMENT("[^:]//", "$")
        ];
        const TITLE1 = hljs.inherit(hljs.TITLE_MODE, { variants: [
          { begin: "\\b_*rig[A-Z][A-Za-z0-9_\\-]*" },
          { begin: "\\b_[a-z0-9\\-]+" }
        ] });
        const TITLE2 = hljs.inherit(hljs.TITLE_MODE, { begin: "\\b([A-Za-z0-9_\\-]+)\\b" });
        return {
          name: "LiveCode",
          case_insensitive: false,
          keywords: {
            keyword: "$_COOKIE $_FILES $_GET $_GET_BINARY $_GET_RAW $_POST $_POST_BINARY $_POST_RAW $_SESSION $_SERVER codepoint codepoints segment segments codeunit codeunits sentence sentences trueWord trueWords paragraph after byte bytes english the until http forever descending using line real8 with seventh for stdout finally element word words fourth before black ninth sixth characters chars stderr uInt1 uInt1s uInt2 uInt2s stdin string lines relative rel any fifth items from middle mid at else of catch then third it file milliseconds seconds second secs sec int1 int1s int4 int4s internet int2 int2s normal text item last long detailed effective uInt4 uInt4s repeat end repeat URL in try into switch to words https token binfile each tenth as ticks tick system real4 by dateItems without char character ascending eighth whole dateTime numeric short first ftp integer abbreviated abbr abbrev private case while if div mod wrap and or bitAnd bitNot bitOr bitXor among not in a an within contains ends with begins the keys of keys",
            literal: "SIX TEN FORMFEED NINE ZERO NONE SPACE FOUR FALSE COLON CRLF PI COMMA ENDOFFILE EOF EIGHT FIVE QUOTE EMPTY ONE TRUE RETURN CR LINEFEED RIGHT BACKSLASH NULL SEVEN TAB THREE TWO six ten formfeed nine zero none space four false colon crlf pi comma endoffile eof eight five quote empty one true return cr linefeed right backslash null seven tab three two RIVERSION RISTATE FILE_READ_MODE FILE_WRITE_MODE FILE_WRITE_MODE DIR_WRITE_MODE FILE_READ_UMASK FILE_WRITE_UMASK DIR_READ_UMASK DIR_WRITE_UMASK",
            built_in: "put abs acos aliasReference annuity arrayDecode arrayEncode asin atan atan2 average avg avgDev base64Decode base64Encode baseConvert binaryDecode binaryEncode byteOffset byteToNum cachedURL cachedURLs charToNum cipherNames codepointOffset codepointProperty codepointToNum codeunitOffset commandNames compound compress constantNames cos date dateFormat decompress difference directories diskSpace DNSServers exp exp1 exp2 exp10 extents files flushEvents folders format functionNames geometricMean global globals hasMemory harmonicMean hostAddress hostAddressToName hostName hostNameToAddress isNumber ISOToMac itemOffset keys len length libURLErrorData libUrlFormData libURLftpCommand libURLLastHTTPHeaders libURLLastRHHeaders libUrlMultipartFormAddPart libUrlMultipartFormData libURLVersion lineOffset ln ln1 localNames log log2 log10 longFilePath lower macToISO matchChunk matchText matrixMultiply max md5Digest median merge messageAuthenticationCode messageDigest millisec millisecs millisecond milliseconds min monthNames nativeCharToNum normalizeText num number numToByte numToChar numToCodepoint numToNativeChar offset open openfiles openProcesses openProcessIDs openSockets paragraphOffset paramCount param params peerAddress pendingMessages platform popStdDev populationStandardDeviation populationVariance popVariance processID random randomBytes replaceText result revCreateXMLTree revCreateXMLTreeFromFile revCurrentRecord revCurrentRecordIsFirst revCurrentRecordIsLast revDatabaseColumnCount revDatabaseColumnIsNull revDatabaseColumnLengths revDatabaseColumnNames revDatabaseColumnNamed revDatabaseColumnNumbered revDatabaseColumnTypes revDatabaseConnectResult revDatabaseCursors revDatabaseID revDatabaseTableNames revDatabaseType revDataFromQuery revdb_closeCursor revdb_columnbynumber revdb_columncount revdb_columnisnull revdb_columnlengths revdb_columnnames revdb_columntypes revdb_commit revdb_connect revdb_connections revdb_connectionerr revdb_currentrecord revdb_cursorconnection revdb_cursorerr revdb_cursors revdb_dbtype revdb_disconnect revdb_execute revdb_iseof revdb_isbof revdb_movefirst revdb_movelast revdb_movenext revdb_moveprev revdb_query revdb_querylist revdb_recordcount revdb_rollback revdb_tablenames revGetDatabaseDriverPath revNumberOfRecords revOpenDatabase revOpenDatabases revQueryDatabase revQueryDatabaseBlob revQueryResult revQueryIsAtStart revQueryIsAtEnd revUnixFromMacPath revXMLAttribute revXMLAttributes revXMLAttributeValues revXMLChildContents revXMLChildNames revXMLCreateTreeFromFileWithNamespaces revXMLCreateTreeWithNamespaces revXMLDataFromXPathQuery revXMLEvaluateXPath revXMLFirstChild revXMLMatchingNode revXMLNextSibling revXMLNodeContents revXMLNumberOfChildren revXMLParent revXMLPreviousSibling revXMLRootNode revXMLRPC_CreateRequest revXMLRPC_Documents revXMLRPC_Error revXMLRPC_GetHost revXMLRPC_GetMethod revXMLRPC_GetParam revXMLText revXMLRPC_Execute revXMLRPC_GetParamCount revXMLRPC_GetParamNode revXMLRPC_GetParamType revXMLRPC_GetPath revXMLRPC_GetPort revXMLRPC_GetProtocol revXMLRPC_GetRequest revXMLRPC_GetResponse revXMLRPC_GetSocket revXMLTree revXMLTrees revXMLValidateDTD revZipDescribeItem revZipEnumerateItems revZipOpenArchives round sampVariance sec secs seconds sentenceOffset sha1Digest shell shortFilePath sin specialFolderPath sqrt standardDeviation statRound stdDev sum sysError systemVersion tan tempName textDecode textEncode tick ticks time to tokenOffset toLower toUpper transpose truewordOffset trunc uniDecode uniEncode upper URLDecode URLEncode URLStatus uuid value variableNames variance version waitDepth weekdayNames wordOffset xsltApplyStylesheet xsltApplyStylesheetFromFile xsltLoadStylesheet xsltLoadStylesheetFromFile add breakpoint cancel clear local variable file word line folder directory URL close socket process combine constant convert create new alias folder directory decrypt delete variable word line folder directory URL dispatch divide do encrypt filter get include intersect kill libURLDownloadToFile libURLFollowHttpRedirects libURLftpUpload libURLftpUploadFile libURLresetAll libUrlSetAuthCallback libURLSetDriver libURLSetCustomHTTPHeaders libUrlSetExpect100 libURLSetFTPListCommand libURLSetFTPMode libURLSetFTPStopTime libURLSetStatusCallback load extension loadedExtensions multiply socket prepare process post seek rel relative read from process rename replace require resetAll resolve revAddXMLNode revAppendXML revCloseCursor revCloseDatabase revCommitDatabase revCopyFile revCopyFolder revCopyXMLNode revDeleteFolder revDeleteXMLNode revDeleteAllXMLTrees revDeleteXMLTree revExecuteSQL revGoURL revInsertXMLNode revMoveFolder revMoveToFirstRecord revMoveToLastRecord revMoveToNextRecord revMoveToPreviousRecord revMoveToRecord revMoveXMLNode revPutIntoXMLNode revRollBackDatabase revSetDatabaseDriverPath revSetXMLAttribute revXMLRPC_AddParam revXMLRPC_DeleteAllDocuments revXMLAddDTD revXMLRPC_Free revXMLRPC_FreeAll revXMLRPC_DeleteDocument revXMLRPC_DeleteParam revXMLRPC_SetHost revXMLRPC_SetMethod revXMLRPC_SetPort revXMLRPC_SetProtocol revXMLRPC_SetSocket revZipAddItemWithData revZipAddItemWithFile revZipAddUncompressedItemWithData revZipAddUncompressedItemWithFile revZipCancel revZipCloseArchive revZipDeleteItem revZipExtractItemToFile revZipExtractItemToVariable revZipSetProgressCallback revZipRenameItem revZipReplaceItemWithData revZipReplaceItemWithFile revZipOpenArchive send set sort split start stop subtract symmetric union unload vectorDotProduct wait write"
          },
          contains: [
            VARIABLE,
            {
              className: "keyword",
              begin: "\\bend\\sif\\b"
            },
            {
              className: "function",
              beginKeywords: "function",
              end: "$",
              contains: [
                VARIABLE,
                TITLE2,
                hljs.APOS_STRING_MODE,
                hljs.QUOTE_STRING_MODE,
                hljs.BINARY_NUMBER_MODE,
                hljs.C_NUMBER_MODE,
                TITLE1
              ]
            },
            {
              className: "function",
              begin: "\\bend\\s+",
              end: "$",
              keywords: "end",
              contains: [
                TITLE2,
                TITLE1
              ],
              relevance: 0
            },
            {
              beginKeywords: "command on",
              end: "$",
              contains: [
                VARIABLE,
                TITLE2,
                hljs.APOS_STRING_MODE,
                hljs.QUOTE_STRING_MODE,
                hljs.BINARY_NUMBER_MODE,
                hljs.C_NUMBER_MODE,
                TITLE1
              ]
            },
            {
              className: "meta",
              variants: [
                {
                  begin: "<\\?(rev|lc|livecode)",
                  relevance: 10
                },
                { begin: "<\\?" },
                { begin: "\\?>" }
              ]
            },
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.BINARY_NUMBER_MODE,
            hljs.C_NUMBER_MODE,
            TITLE1
          ].concat(COMMENT_MODES),
          illegal: ";$|^\\[|^=|&|\\{"
        };
      }
      module.exports = livecodeserver;
    }
  });

  // node_modules/highlight.js/lib/languages/livescript.js
  var require_livescript = __commonJS({
    "node_modules/highlight.js/lib/languages/livescript.js"(exports, module) {
      var KEYWORDS = [
        "as",
        // for exports
        "in",
        "of",
        "if",
        "for",
        "while",
        "finally",
        "var",
        "new",
        "function",
        "do",
        "return",
        "void",
        "else",
        "break",
        "catch",
        "instanceof",
        "with",
        "throw",
        "case",
        "default",
        "try",
        "switch",
        "continue",
        "typeof",
        "delete",
        "let",
        "yield",
        "const",
        "class",
        // JS handles these with a special rule
        // "get",
        // "set",
        "debugger",
        "async",
        "await",
        "static",
        "import",
        "from",
        "export",
        "extends",
        // It's reached stage 3, which is "recommended for implementation":
        "using"
      ];
      var LITERALS = [
        "true",
        "false",
        "null",
        "undefined",
        "NaN",
        "Infinity"
      ];
      var TYPES = [
        // Fundamental objects
        "Object",
        "Function",
        "Boolean",
        "Symbol",
        // numbers and dates
        "Math",
        "Date",
        "Number",
        "BigInt",
        // text
        "String",
        "RegExp",
        // Indexed collections
        "Array",
        "Float32Array",
        "Float64Array",
        "Int8Array",
        "Uint8Array",
        "Uint8ClampedArray",
        "Int16Array",
        "Int32Array",
        "Uint16Array",
        "Uint32Array",
        "BigInt64Array",
        "BigUint64Array",
        // Keyed collections
        "Set",
        "Map",
        "WeakSet",
        "WeakMap",
        // Structured data
        "ArrayBuffer",
        "SharedArrayBuffer",
        "Atomics",
        "DataView",
        "JSON",
        // Control abstraction objects
        "Promise",
        "Generator",
        "GeneratorFunction",
        "AsyncFunction",
        // Reflection
        "Reflect",
        "Proxy",
        // Internationalization
        "Intl",
        // WebAssembly
        "WebAssembly"
      ];
      var ERROR_TYPES = [
        "Error",
        "EvalError",
        "InternalError",
        "RangeError",
        "ReferenceError",
        "SyntaxError",
        "TypeError",
        "URIError"
      ];
      var BUILT_IN_GLOBALS = [
        "setInterval",
        "setTimeout",
        "clearInterval",
        "clearTimeout",
        "require",
        "exports",
        "eval",
        "isFinite",
        "isNaN",
        "parseFloat",
        "parseInt",
        "decodeURI",
        "decodeURIComponent",
        "encodeURI",
        "encodeURIComponent",
        "escape",
        "unescape"
      ];
      var BUILT_INS = [].concat(
        BUILT_IN_GLOBALS,
        TYPES,
        ERROR_TYPES
      );
      function livescript(hljs) {
        const LIVESCRIPT_BUILT_INS = [
          "npm",
          "print"
        ];
        const LIVESCRIPT_LITERALS = [
          "yes",
          "no",
          "on",
          "off",
          "it",
          "that",
          "void"
        ];
        const LIVESCRIPT_KEYWORDS = [
          "then",
          "unless",
          "until",
          "loop",
          "of",
          "by",
          "when",
          "and",
          "or",
          "is",
          "isnt",
          "not",
          "it",
          "that",
          "otherwise",
          "from",
          "to",
          "til",
          "fallthrough",
          "case",
          "enum",
          "native",
          "list",
          "map",
          "__hasProp",
          "__extends",
          "__slice",
          "__bind",
          "__indexOf"
        ];
        const KEYWORDS$1 = {
          keyword: KEYWORDS.concat(LIVESCRIPT_KEYWORDS),
          literal: LITERALS.concat(LIVESCRIPT_LITERALS),
          built_in: BUILT_INS.concat(LIVESCRIPT_BUILT_INS)
        };
        const JS_IDENT_RE = "[A-Za-z$_](?:-[0-9A-Za-z$_]|[0-9A-Za-z$_])*";
        const TITLE = hljs.inherit(hljs.TITLE_MODE, { begin: JS_IDENT_RE });
        const SUBST = {
          className: "subst",
          begin: /#\{/,
          end: /\}/,
          keywords: KEYWORDS$1
        };
        const SUBST_SIMPLE = {
          className: "subst",
          begin: /#[A-Za-z$_]/,
          end: /(?:-[0-9A-Za-z$_]|[0-9A-Za-z$_])*/,
          keywords: KEYWORDS$1
        };
        const EXPRESSIONS2 = [
          hljs.BINARY_NUMBER_MODE,
          {
            className: "number",
            begin: "(\\b0[xX][a-fA-F0-9_]+)|(\\b\\d(\\d|_\\d)*(\\.(\\d(\\d|_\\d)*)?)?(_*[eE]([-+]\\d(_\\d|\\d)*)?)?[_a-z]*)",
            relevance: 0,
            starts: {
              end: "(\\s*/)?",
              relevance: 0
            }
            // a number tries to eat the following slash to prevent treating it as a regexp
          },
          {
            className: "string",
            variants: [
              {
                begin: /'''/,
                end: /'''/,
                contains: [hljs.BACKSLASH_ESCAPE]
              },
              {
                begin: /'/,
                end: /'/,
                contains: [hljs.BACKSLASH_ESCAPE]
              },
              {
                begin: /"""/,
                end: /"""/,
                contains: [
                  hljs.BACKSLASH_ESCAPE,
                  SUBST,
                  SUBST_SIMPLE
                ]
              },
              {
                begin: /"/,
                end: /"/,
                contains: [
                  hljs.BACKSLASH_ESCAPE,
                  SUBST,
                  SUBST_SIMPLE
                ]
              },
              {
                begin: /\\/,
                end: /(\s|$)/,
                excludeEnd: true
              }
            ]
          },
          {
            className: "regexp",
            variants: [
              {
                begin: "//",
                end: "//[gim]*",
                contains: [
                  SUBST,
                  hljs.HASH_COMMENT_MODE
                ]
              },
              {
                // regex can't start with space to parse x / 2 / 3 as two divisions
                // regex can't start with *, and it supports an "illegal" in the main mode
                begin: /\/(?![ *])(\\.|[^\\\n])*?\/[gim]*(?=\W)/
              }
            ]
          },
          { begin: "@" + JS_IDENT_RE },
          {
            begin: "``",
            end: "``",
            excludeBegin: true,
            excludeEnd: true,
            subLanguage: "javascript"
          }
        ];
        SUBST.contains = EXPRESSIONS2;
        const PARAMS = {
          className: "params",
          begin: "\\(",
          returnBegin: true,
          /* We need another contained nameless mode to not have every nested
          pair of parens to be called "params" */
          contains: [
            {
              begin: /\(/,
              end: /\)/,
              keywords: KEYWORDS$1,
              contains: ["self"].concat(EXPRESSIONS2)
            }
          ]
        };
        const SYMBOLS = { begin: "(#=>|=>|\\|>>|-?->|!->)" };
        const CLASS_DEFINITION = {
          variants: [
            { match: [
              /class\s+/,
              JS_IDENT_RE,
              /\s+extends\s+/,
              JS_IDENT_RE
            ] },
            { match: [
              /class\s+/,
              JS_IDENT_RE
            ] }
          ],
          scope: {
            2: "title.class",
            4: "title.class.inherited"
          },
          keywords: KEYWORDS$1
        };
        return {
          name: "LiveScript",
          aliases: ["ls"],
          keywords: KEYWORDS$1,
          illegal: /\/\*/,
          contains: EXPRESSIONS2.concat([
            hljs.COMMENT("\\/\\*", "\\*\\/"),
            hljs.HASH_COMMENT_MODE,
            SYMBOLS,
            // relevance booster
            {
              className: "function",
              contains: [
                TITLE,
                PARAMS
              ],
              returnBegin: true,
              variants: [
                {
                  begin: "(" + JS_IDENT_RE + "\\s*(?:=|:=)\\s*)?(\\(.*\\)\\s*)?\\B->\\*?",
                  end: "->\\*?"
                },
                {
                  begin: "(" + JS_IDENT_RE + "\\s*(?:=|:=)\\s*)?!?(\\(.*\\)\\s*)?\\B[-~]{1,2}>\\*?",
                  end: "[-~]{1,2}>\\*?"
                },
                {
                  begin: "(" + JS_IDENT_RE + "\\s*(?:=|:=)\\s*)?(\\(.*\\)\\s*)?\\B!?[-~]{1,2}>\\*?",
                  end: "!?[-~]{1,2}>\\*?"
                }
              ]
            },
            CLASS_DEFINITION,
            {
              begin: JS_IDENT_RE + ":",
              end: ":",
              returnBegin: true,
              returnEnd: true,
              relevance: 0
            }
          ])
        };
      }
      module.exports = livescript;
    }
  });

  // node_modules/highlight.js/lib/languages/llvm.js
  var require_llvm = __commonJS({
    "node_modules/highlight.js/lib/languages/llvm.js"(exports, module) {
      function llvm(hljs) {
        const regex = hljs.regex;
        const IDENT_RE = /([-a-zA-Z$._][\w$.-]*)/;
        const TYPE = {
          className: "type",
          begin: /\bi\d+(?=\s|\b)/
        };
        const OPERATOR = {
          className: "operator",
          relevance: 0,
          begin: /=/
        };
        const PUNCTUATION = {
          className: "punctuation",
          relevance: 0,
          begin: /,/
        };
        const NUMBER = {
          className: "number",
          variants: [
            { begin: /[su]?0[xX][KMLHR]?[a-fA-F0-9]+/ },
            { begin: /[-+]?\d+(?:[.]\d+)?(?:[eE][-+]?\d+(?:[.]\d+)?)?/ }
          ],
          relevance: 0
        };
        const LABEL = {
          className: "symbol",
          variants: [
            { begin: /^\s*[a-z]+:/ }
            // labels
          ],
          relevance: 0
        };
        const VARIABLE = {
          className: "variable",
          variants: [
            { begin: regex.concat(/%/, IDENT_RE) },
            { begin: /%\d+/ },
            { begin: /#\d+/ }
          ]
        };
        const FUNCTION = {
          className: "title",
          variants: [
            { begin: regex.concat(/@/, IDENT_RE) },
            { begin: /@\d+/ },
            { begin: regex.concat(/!/, IDENT_RE) },
            { begin: regex.concat(/!\d+/, IDENT_RE) },
            // https://llvm.org/docs/LangRef.html#namedmetadatastructure
            // obviously a single digit can also be used in this fashion
            { begin: /!\d+/ }
          ]
        };
        return {
          name: "LLVM IR",
          // TODO: split into different categories of keywords
          keywords: {
            keyword: "begin end true false declare define global constant private linker_private internal available_externally linkonce linkonce_odr weak weak_odr appending dllimport dllexport common default hidden protected extern_weak external thread_local zeroinitializer undef null to tail target triple datalayout volatile nuw nsw nnan ninf nsz arcp fast exact inbounds align addrspace section alias module asm sideeffect gc dbg linker_private_weak attributes blockaddress initialexec localdynamic localexec prefix unnamed_addr ccc fastcc coldcc x86_stdcallcc x86_fastcallcc arm_apcscc arm_aapcscc arm_aapcs_vfpcc ptx_device ptx_kernel intel_ocl_bicc msp430_intrcc spir_func spir_kernel x86_64_sysvcc x86_64_win64cc x86_thiscallcc cc c signext zeroext inreg sret nounwind noreturn noalias nocapture byval nest readnone readonly inlinehint noinline alwaysinline optsize ssp sspreq noredzone noimplicitfloat naked builtin cold nobuiltin noduplicate nonlazybind optnone returns_twice sanitize_address sanitize_memory sanitize_thread sspstrong uwtable returned type opaque eq ne slt sgt sle sge ult ugt ule uge oeq one olt ogt ole oge ord uno ueq une x acq_rel acquire alignstack atomic catch cleanup filter inteldialect max min monotonic nand personality release seq_cst singlethread umax umin unordered xchg add fadd sub fsub mul fmul udiv sdiv fdiv urem srem frem shl lshr ashr and or xor icmp fcmp phi call trunc zext sext fptrunc fpext uitofp sitofp fptoui fptosi inttoptr ptrtoint bitcast addrspacecast select va_arg ret br switch invoke unwind unreachable indirectbr landingpad resume malloc alloca free load store getelementptr extractelement insertelement shufflevector getresult extractvalue insertvalue atomicrmw cmpxchg fence argmemonly",
            type: "void half bfloat float double fp128 x86_fp80 ppc_fp128 x86_amx x86_mmx ptr label token metadata opaque"
          },
          contains: [
            TYPE,
            // this matches "empty comments"...
            // ...because it's far more likely this is a statement terminator in
            // another language than an actual comment
            hljs.COMMENT(/;\s*$/, null, { relevance: 0 }),
            hljs.COMMENT(/;/, /$/),
            {
              className: "string",
              begin: /"/,
              end: /"/,
              contains: [
                {
                  className: "char.escape",
                  match: /\\\d\d/
                }
              ]
            },
            FUNCTION,
            PUNCTUATION,
            OPERATOR,
            VARIABLE,
            LABEL,
            NUMBER
          ]
        };
      }
      module.exports = llvm;
    }
  });

  // node_modules/highlight.js/lib/languages/lsl.js
  var require_lsl = __commonJS({
    "node_modules/highlight.js/lib/languages/lsl.js"(exports, module) {
      function lsl(hljs) {
        const LSL_STRING_ESCAPE_CHARS = {
          className: "subst",
          begin: /\\[tn"\\]/
        };
        const LSL_STRINGS = {
          className: "string",
          begin: '"',
          end: '"',
          contains: [LSL_STRING_ESCAPE_CHARS]
        };
        const LSL_NUMBERS = {
          className: "number",
          relevance: 0,
          begin: hljs.C_NUMBER_RE
        };
        const LSL_CONSTANTS = {
          className: "literal",
          variants: [
            { begin: "\\b(PI|TWO_PI|PI_BY_TWO|DEG_TO_RAD|RAD_TO_DEG|SQRT2)\\b" },
            { begin: "\\b(XP_ERROR_(EXPERIENCES_DISABLED|EXPERIENCE_(DISABLED|SUSPENDED)|INVALID_(EXPERIENCE|PARAMETERS)|KEY_NOT_FOUND|MATURITY_EXCEEDED|NONE|NOT_(FOUND|PERMITTED(_LAND)?)|NO_EXPERIENCE|QUOTA_EXCEEDED|RETRY_UPDATE|STORAGE_EXCEPTION|STORE_DISABLED|THROTTLED|UNKNOWN_ERROR)|JSON_APPEND|STATUS_(PHYSICS|ROTATE_[XYZ]|PHANTOM|SANDBOX|BLOCK_GRAB(_OBJECT)?|(DIE|RETURN)_AT_EDGE|CAST_SHADOWS|OK|MALFORMED_PARAMS|TYPE_MISMATCH|BOUNDS_ERROR|NOT_(FOUND|SUPPORTED)|INTERNAL_ERROR|WHITELIST_FAILED)|AGENT(_(BY_(LEGACY_|USER)NAME|FLYING|ATTACHMENTS|SCRIPTED|MOUSELOOK|SITTING|ON_OBJECT|AWAY|WALKING|IN_AIR|TYPING|CROUCHING|BUSY|ALWAYS_RUN|AUTOPILOT|LIST_(PARCEL(_OWNER)?|REGION)))?|CAMERA_(PITCH|DISTANCE|BEHINDNESS_(ANGLE|LAG)|(FOCUS|POSITION)(_(THRESHOLD|LOCKED|LAG))?|FOCUS_OFFSET|ACTIVE)|ANIM_ON|LOOP|REVERSE|PING_PONG|SMOOTH|ROTATE|SCALE|ALL_SIDES|LINK_(ROOT|SET|ALL_(OTHERS|CHILDREN)|THIS)|ACTIVE|PASS(IVE|_(ALWAYS|IF_NOT_HANDLED|NEVER))|SCRIPTED|CONTROL_(FWD|BACK|(ROT_)?(LEFT|RIGHT)|UP|DOWN|(ML_)?LBUTTON)|PERMISSION_(RETURN_OBJECTS|DEBIT|OVERRIDE_ANIMATIONS|SILENT_ESTATE_MANAGEMENT|TAKE_CONTROLS|TRIGGER_ANIMATION|ATTACH|CHANGE_LINKS|(CONTROL|TRACK)_CAMERA|TELEPORT)|INVENTORY_(TEXTURE|SOUND|OBJECT|SCRIPT|LANDMARK|CLOTHING|NOTECARD|BODYPART|ANIMATION|GESTURE|ALL|NONE)|CHANGED_(INVENTORY|COLOR|SHAPE|SCALE|TEXTURE|LINK|ALLOWED_DROP|OWNER|REGION(_START)?|TELEPORT|MEDIA)|OBJECT_(CLICK_ACTION|HOVER_HEIGHT|LAST_OWNER_ID|(PHYSICS|SERVER|STREAMING)_COST|UNKNOWN_DETAIL|CHARACTER_TIME|PHANTOM|PHYSICS|TEMP_(ATTACHED|ON_REZ)|NAME|DESC|POS|PRIM_(COUNT|EQUIVALENCE)|RETURN_(PARCEL(_OWNER)?|REGION)|REZZER_KEY|ROO?T|VELOCITY|OMEGA|OWNER|GROUP(_TAG)?|CREATOR|ATTACHED_(POINT|SLOTS_AVAILABLE)|RENDER_WEIGHT|(BODY_SHAPE|PATHFINDING)_TYPE|(RUNNING|TOTAL)_SCRIPT_COUNT|TOTAL_INVENTORY_COUNT|SCRIPT_(MEMORY|TIME))|TYPE_(INTEGER|FLOAT|STRING|KEY|VECTOR|ROTATION|INVALID)|(DEBUG|PUBLIC)_CHANNEL|ATTACH_(AVATAR_CENTER|CHEST|HEAD|BACK|PELVIS|MOUTH|CHIN|NECK|NOSE|BELLY|[LR](SHOULDER|HAND|FOOT|EAR|EYE|[UL](ARM|LEG)|HIP)|(LEFT|RIGHT)_PEC|HUD_(CENTER_[12]|TOP_(RIGHT|CENTER|LEFT)|BOTTOM(_(RIGHT|LEFT))?)|[LR]HAND_RING1|TAIL_(BASE|TIP)|[LR]WING|FACE_(JAW|[LR]EAR|[LR]EYE|TOUNGE)|GROIN|HIND_[LR]FOOT)|LAND_(LEVEL|RAISE|LOWER|SMOOTH|NOISE|REVERT)|DATA_(ONLINE|NAME|BORN|SIM_(POS|STATUS|RATING)|PAYINFO)|PAYMENT_INFO_(ON_FILE|USED)|REMOTE_DATA_(CHANNEL|REQUEST|REPLY)|PSYS_(PART_(BF_(ZERO|ONE(_MINUS_(DEST_COLOR|SOURCE_(ALPHA|COLOR)))?|DEST_COLOR|SOURCE_(ALPHA|COLOR))|BLEND_FUNC_(DEST|SOURCE)|FLAGS|(START|END)_(COLOR|ALPHA|SCALE|GLOW)|MAX_AGE|(RIBBON|WIND|INTERP_(COLOR|SCALE)|BOUNCE|FOLLOW_(SRC|VELOCITY)|TARGET_(POS|LINEAR)|EMISSIVE)_MASK)|SRC_(MAX_AGE|PATTERN|ANGLE_(BEGIN|END)|BURST_(RATE|PART_COUNT|RADIUS|SPEED_(MIN|MAX))|ACCEL|TEXTURE|TARGET_KEY|OMEGA|PATTERN_(DROP|EXPLODE|ANGLE(_CONE(_EMPTY)?)?)))|VEHICLE_(REFERENCE_FRAME|TYPE_(NONE|SLED|CAR|BOAT|AIRPLANE|BALLOON)|(LINEAR|ANGULAR)_(FRICTION_TIMESCALE|MOTOR_DIRECTION)|LINEAR_MOTOR_OFFSET|HOVER_(HEIGHT|EFFICIENCY|TIMESCALE)|BUOYANCY|(LINEAR|ANGULAR)_(DEFLECTION_(EFFICIENCY|TIMESCALE)|MOTOR_(DECAY_)?TIMESCALE)|VERTICAL_ATTRACTION_(EFFICIENCY|TIMESCALE)|BANKING_(EFFICIENCY|MIX|TIMESCALE)|FLAG_(NO_DEFLECTION_UP|LIMIT_(ROLL_ONLY|MOTOR_UP)|HOVER_((WATER|TERRAIN|UP)_ONLY|GLOBAL_HEIGHT)|MOUSELOOK_(STEER|BANK)|CAMERA_DECOUPLED))|PRIM_(ALLOW_UNSIT|ALPHA_MODE(_(BLEND|EMISSIVE|MASK|NONE))?|NORMAL|SPECULAR|TYPE(_(BOX|CYLINDER|PRISM|SPHERE|TORUS|TUBE|RING|SCULPT))?|HOLE_(DEFAULT|CIRCLE|SQUARE|TRIANGLE)|MATERIAL(_(STONE|METAL|GLASS|WOOD|FLESH|PLASTIC|RUBBER))?|SHINY_(NONE|LOW|MEDIUM|HIGH)|BUMP_(NONE|BRIGHT|DARK|WOOD|BARK|BRICKS|CHECKER|CONCRETE|TILE|STONE|DISKS|GRAVEL|BLOBS|SIDING|LARGETILE|STUCCO|SUCTION|WEAVE)|TEXGEN_(DEFAULT|PLANAR)|SCRIPTED_SIT_ONLY|SCULPT_(TYPE_(SPHERE|TORUS|PLANE|CYLINDER|MASK)|FLAG_(MIRROR|INVERT))|PHYSICS(_(SHAPE_(CONVEX|NONE|PRIM|TYPE)))?|(POS|ROT)_LOCAL|SLICE|TEXT|FLEXIBLE|POINT_LIGHT|TEMP_ON_REZ|PHANTOM|POSITION|SIT_TARGET|SIZE|ROTATION|TEXTURE|NAME|OMEGA|DESC|LINK_TARGET|COLOR|BUMP_SHINY|FULLBRIGHT|TEXGEN|GLOW|MEDIA_(ALT_IMAGE_ENABLE|CONTROLS|(CURRENT|HOME)_URL|AUTO_(LOOP|PLAY|SCALE|ZOOM)|FIRST_CLICK_INTERACT|(WIDTH|HEIGHT)_PIXELS|WHITELIST(_ENABLE)?|PERMS_(INTERACT|CONTROL)|PARAM_MAX|CONTROLS_(STANDARD|MINI)|PERM_(NONE|OWNER|GROUP|ANYONE)|MAX_(URL_LENGTH|WHITELIST_(SIZE|COUNT)|(WIDTH|HEIGHT)_PIXELS)))|MASK_(BASE|OWNER|GROUP|EVERYONE|NEXT)|PERM_(TRANSFER|MODIFY|COPY|MOVE|ALL)|PARCEL_(MEDIA_COMMAND_(STOP|PAUSE|PLAY|LOOP|TEXTURE|URL|TIME|AGENT|UNLOAD|AUTO_ALIGN|TYPE|SIZE|DESC|LOOP_SET)|FLAG_(ALLOW_(FLY|(GROUP_)?SCRIPTS|LANDMARK|TERRAFORM|DAMAGE|CREATE_(GROUP_)?OBJECTS)|USE_(ACCESS_(GROUP|LIST)|BAN_LIST|LAND_PASS_LIST)|LOCAL_SOUND_ONLY|RESTRICT_PUSHOBJECT|ALLOW_(GROUP|ALL)_OBJECT_ENTRY)|COUNT_(TOTAL|OWNER|GROUP|OTHER|SELECTED|TEMP)|DETAILS_(NAME|DESC|OWNER|GROUP|AREA|ID|SEE_AVATARS))|LIST_STAT_(MAX|MIN|MEAN|MEDIAN|STD_DEV|SUM(_SQUARES)?|NUM_COUNT|GEOMETRIC_MEAN|RANGE)|PAY_(HIDE|DEFAULT)|REGION_FLAG_(ALLOW_DAMAGE|FIXED_SUN|BLOCK_TERRAFORM|SANDBOX|DISABLE_(COLLISIONS|PHYSICS)|BLOCK_FLY|ALLOW_DIRECT_TELEPORT|RESTRICT_PUSHOBJECT)|HTTP_(METHOD|MIMETYPE|BODY_(MAXLENGTH|TRUNCATED)|CUSTOM_HEADER|PRAGMA_NO_CACHE|VERBOSE_THROTTLE|VERIFY_CERT)|SIT_(INVALID_(AGENT|LINK_OBJECT)|NO(T_EXPERIENCE|_(ACCESS|EXPERIENCE_PERMISSION|SIT_TARGET)))|STRING_(TRIM(_(HEAD|TAIL))?)|CLICK_ACTION_(NONE|TOUCH|SIT|BUY|PAY|OPEN(_MEDIA)?|PLAY|ZOOM)|TOUCH_INVALID_FACE|PROFILE_(NONE|SCRIPT_MEMORY)|RC_(DATA_FLAGS|DETECT_PHANTOM|GET_(LINK_NUM|NORMAL|ROOT_KEY)|MAX_HITS|REJECT_(TYPES|AGENTS|(NON)?PHYSICAL|LAND))|RCERR_(CAST_TIME_EXCEEDED|SIM_PERF_LOW|UNKNOWN)|ESTATE_ACCESS_(ALLOWED_(AGENT|GROUP)_(ADD|REMOVE)|BANNED_AGENT_(ADD|REMOVE))|DENSITY|FRICTION|RESTITUTION|GRAVITY_MULTIPLIER|KFM_(COMMAND|CMD_(PLAY|STOP|PAUSE)|MODE|FORWARD|LOOP|PING_PONG|REVERSE|DATA|ROTATION|TRANSLATION)|ERR_(GENERIC|PARCEL_PERMISSIONS|MALFORMED_PARAMS|RUNTIME_PERMISSIONS|THROTTLED)|CHARACTER_(CMD_((SMOOTH_)?STOP|JUMP)|DESIRED_(TURN_)?SPEED|RADIUS|STAY_WITHIN_PARCEL|LENGTH|ORIENTATION|ACCOUNT_FOR_SKIPPED_FRAMES|AVOIDANCE_MODE|TYPE(_([ABCD]|NONE))?|MAX_(DECEL|TURN_RADIUS|(ACCEL|SPEED)))|PURSUIT_(OFFSET|FUZZ_FACTOR|GOAL_TOLERANCE|INTERCEPT)|REQUIRE_LINE_OF_SIGHT|FORCE_DIRECT_PATH|VERTICAL|HORIZONTAL|AVOID_(CHARACTERS|DYNAMIC_OBSTACLES|NONE)|PU_(EVADE_(HIDDEN|SPOTTED)|FAILURE_(DYNAMIC_PATHFINDING_DISABLED|INVALID_(GOAL|START)|NO_(NAVMESH|VALID_DESTINATION)|OTHER|TARGET_GONE|(PARCEL_)?UNREACHABLE)|(GOAL|SLOWDOWN_DISTANCE)_REACHED)|TRAVERSAL_TYPE(_(FAST|NONE|SLOW))?|CONTENT_TYPE_(ATOM|FORM|HTML|JSON|LLSD|RSS|TEXT|XHTML|XML)|GCNP_(RADIUS|STATIC)|(PATROL|WANDER)_PAUSE_AT_WAYPOINTS|OPT_(AVATAR|CHARACTER|EXCLUSION_VOLUME|LEGACY_LINKSET|MATERIAL_VOLUME|OTHER|STATIC_OBSTACLE|WALKABLE)|SIM_STAT_PCT_CHARS_STEPPED)\\b" },
            { begin: "\\b(FALSE|TRUE)\\b" },
            { begin: "\\b(ZERO_ROTATION)\\b" },
            { begin: "\\b(EOF|JSON_(ARRAY|DELETE|FALSE|INVALID|NULL|NUMBER|OBJECT|STRING|TRUE)|NULL_KEY|TEXTURE_(BLANK|DEFAULT|MEDIA|PLYWOOD|TRANSPARENT)|URL_REQUEST_(GRANTED|DENIED))\\b" },
            { begin: "\\b(ZERO_VECTOR|TOUCH_INVALID_(TEXCOORD|VECTOR))\\b" }
          ]
        };
        const LSL_FUNCTIONS = {
          className: "built_in",
          begin: "\\b(ll(AgentInExperience|(Create|DataSize|Delete|KeyCount|Keys|Read|Update)KeyValue|GetExperience(Details|ErrorMessage)|ReturnObjectsBy(ID|Owner)|Json(2List|[GS]etValue|ValueType)|Sin|Cos|Tan|Atan2|Sqrt|Pow|Abs|Fabs|Frand|Floor|Ceil|Round|Vec(Mag|Norm|Dist)|Rot(Between|2(Euler|Fwd|Left|Up))|(Euler|Axes)2Rot|Whisper|(Region|Owner)?Say|Shout|Listen(Control|Remove)?|Sensor(Repeat|Remove)?|Detected(Name|Key|Owner|Type|Pos|Vel|Grab|Rot|Group|LinkNumber)|Die|Ground|Wind|([GS]et)(AnimationOverride|MemoryLimit|PrimMediaParams|ParcelMusicURL|Object(Desc|Name)|PhysicsMaterial|Status|Scale|Color|Alpha|Texture|Pos|Rot|Force|Torque)|ResetAnimationOverride|(Scale|Offset|Rotate)Texture|(Rot)?Target(Remove)?|(Stop)?MoveToTarget|Apply(Rotational)?Impulse|Set(KeyframedMotion|ContentType|RegionPos|(Angular)?Velocity|Buoyancy|HoverHeight|ForceAndTorque|TimerEvent|ScriptState|Damage|TextureAnim|Sound(Queueing|Radius)|Vehicle(Type|(Float|Vector|Rotation)Param)|(Touch|Sit)?Text|Camera(Eye|At)Offset|PrimitiveParams|ClickAction|Link(Alpha|Color|PrimitiveParams(Fast)?|Texture(Anim)?|Camera|Media)|RemoteScriptAccessPin|PayPrice|LocalRot)|ScaleByFactor|Get((Max|Min)ScaleFactor|ClosestNavPoint|StaticPath|SimStats|Env|PrimitiveParams|Link(PrimitiveParams|Number(OfSides)?|Key|Name|Media)|HTTPHeader|FreeURLs|Object(Details|PermMask|PrimCount)|Parcel(MaxPrims|Details|Prim(Count|Owners))|Attached(List)?|(SPMax|Free|Used)Memory|Region(Name|TimeDilation|FPS|Corner|AgentCount)|Root(Position|Rotation)|UnixTime|(Parcel|Region)Flags|(Wall|GMT)clock|SimulatorHostname|BoundingBox|GeometricCenter|Creator|NumberOf(Prims|NotecardLines|Sides)|Animation(List)?|(Camera|Local)(Pos|Rot)|Vel|Accel|Omega|Time(stamp|OfDay)|(Object|CenterOf)?Mass|MassMKS|Energy|Owner|(Owner)?Key|SunDirection|Texture(Offset|Scale|Rot)|Inventory(Number|Name|Key|Type|Creator|PermMask)|Permissions(Key)?|StartParameter|List(Length|EntryType)|Date|Agent(Size|Info|Language|List)|LandOwnerAt|NotecardLine|Script(Name|State))|(Get|Reset|GetAndReset)Time|PlaySound(Slave)?|LoopSound(Master|Slave)?|(Trigger|Stop|Preload)Sound|((Get|Delete)Sub|Insert)String|To(Upper|Lower)|Give(InventoryList|Money)|RezObject|(Stop)?LookAt|Sleep|CollisionFilter|(Take|Release)Controls|DetachFromAvatar|AttachToAvatar(Temp)?|InstantMessage|(GetNext)?Email|StopHover|MinEventDelay|RotLookAt|String(Length|Trim)|(Start|Stop)Animation|TargetOmega|Request(Experience)?Permissions|(Create|Break)Link|BreakAllLinks|(Give|Remove)Inventory|Water|PassTouches|Request(Agent|Inventory)Data|TeleportAgent(Home|GlobalCoords)?|ModifyLand|CollisionSound|ResetScript|MessageLinked|PushObject|PassCollisions|AxisAngle2Rot|Rot2(Axis|Angle)|A(cos|sin)|AngleBetween|AllowInventoryDrop|SubStringIndex|List2(CSV|Integer|Json|Float|String|Key|Vector|Rot|List(Strided)?)|DeleteSubList|List(Statistics|Sort|Randomize|(Insert|Find|Replace)List)|EdgeOfWorld|AdjustSoundVolume|Key2Name|TriggerSoundLimited|EjectFromLand|(CSV|ParseString)2List|OverMyLand|SameGroup|UnSit|Ground(Slope|Normal|Contour)|GroundRepel|(Set|Remove)VehicleFlags|SitOnLink|(AvatarOn)?(Link)?SitTarget|Script(Danger|Profiler)|Dialog|VolumeDetect|ResetOtherScript|RemoteLoadScriptPin|(Open|Close)RemoteDataChannel|SendRemoteData|RemoteDataReply|(Integer|String)ToBase64|XorBase64|Log(10)?|Base64To(String|Integer)|ParseStringKeepNulls|RezAtRoot|RequestSimulatorData|ForceMouselook|(Load|Release|(E|Une)scape)URL|ParcelMedia(CommandList|Query)|ModPow|MapDestination|(RemoveFrom|AddTo|Reset)Land(Pass|Ban)List|(Set|Clear)CameraParams|HTTP(Request|Response)|TextBox|DetectedTouch(UV|Face|Pos|(N|Bin)ormal|ST)|(MD5|SHA1|DumpList2)String|Request(Secure)?URL|Clear(Prim|Link)Media|(Link)?ParticleSystem|(Get|Request)(Username|DisplayName)|RegionSayTo|CastRay|GenerateKey|TransferLindenDollars|ManageEstateAccess|(Create|Delete)Character|ExecCharacterCmd|Evade|FleeFrom|NavigateTo|PatrolPoints|Pursue|UpdateCharacter|WanderWithin))\\b"
        };
        return {
          name: "LSL (Linden Scripting Language)",
          illegal: ":",
          contains: [
            LSL_STRINGS,
            {
              className: "comment",
              variants: [
                hljs.COMMENT("//", "$"),
                hljs.COMMENT("/\\*", "\\*/")
              ],
              relevance: 0
            },
            LSL_NUMBERS,
            {
              className: "section",
              variants: [
                { begin: "\\b(state|default)\\b" },
                { begin: "\\b(state_(entry|exit)|touch(_(start|end))?|(land_)?collision(_(start|end))?|timer|listen|(no_)?sensor|control|(not_)?at_(rot_)?target|money|email|experience_permissions(_denied)?|run_time_permissions|changed|attach|dataserver|moving_(start|end)|link_message|(on|object)_rez|remote_data|http_re(sponse|quest)|path_update|transaction_result)\\b" }
              ]
            },
            LSL_FUNCTIONS,
            LSL_CONSTANTS,
            {
              className: "type",
              begin: "\\b(integer|float|string|key|vector|quaternion|rotation|list)\\b"
            }
          ]
        };
      }
      module.exports = lsl;
    }
  });

  // node_modules/highlight.js/lib/languages/lua.js
  var require_lua = __commonJS({
    "node_modules/highlight.js/lib/languages/lua.js"(exports, module) {
      function lua(hljs) {
        const OPENING_LONG_BRACKET = "\\[=*\\[";
        const CLOSING_LONG_BRACKET = "\\]=*\\]";
        const LONG_BRACKETS = {
          begin: OPENING_LONG_BRACKET,
          end: CLOSING_LONG_BRACKET,
          contains: ["self"]
        };
        const COMMENTS = [
          hljs.COMMENT("--(?!" + OPENING_LONG_BRACKET + ")", "$"),
          hljs.COMMENT(
            "--" + OPENING_LONG_BRACKET,
            CLOSING_LONG_BRACKET,
            {
              contains: [LONG_BRACKETS],
              relevance: 10
            }
          )
        ];
        return {
          name: "Lua",
          aliases: ["pluto"],
          keywords: {
            $pattern: hljs.UNDERSCORE_IDENT_RE,
            literal: "true false nil",
            keyword: "and break do else elseif end for goto if in local not or repeat return then until while",
            built_in: (
              // Metatags and globals:
              "_G _ENV _VERSION __index __newindex __mode __call __metatable __tostring __len __gc __add __sub __mul __div __mod __pow __concat __unm __eq __lt __le assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring module next pairs pcall print rawequal rawget rawset require select setfenv setmetatable tonumber tostring type unpack xpcall arg self coroutine resume yield status wrap create running debug getupvalue debug sethook getmetatable gethook setmetatable setlocal traceback setfenv getinfo setupvalue getlocal getregistry getfenv io lines write close flush open output type read stderr stdin input stdout popen tmpfile math log max acos huge ldexp pi cos tanh pow deg tan cosh sinh random randomseed frexp ceil floor rad abs sqrt modf asin min mod fmod log10 atan2 exp sin atan os exit setlocale date getenv difftime remove time clock tmpname rename execute package preload loadlib loaded loaders cpath config path seeall string sub upper len gfind rep find match char dump gmatch reverse byte format gsub lower table setn insert getn foreachi maxn foreach concat sort remove"
            )
          },
          contains: COMMENTS.concat([
            {
              className: "function",
              beginKeywords: "function",
              end: "\\)",
              contains: [
                hljs.inherit(hljs.TITLE_MODE, { begin: "([_a-zA-Z]\\w*\\.)*([_a-zA-Z]\\w*:)?[_a-zA-Z]\\w*" }),
                {
                  className: "params",
                  begin: "\\(",
                  endsWithParent: true,
                  contains: COMMENTS
                }
              ].concat(COMMENTS)
            },
            hljs.C_NUMBER_MODE,
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            {
              className: "string",
              begin: OPENING_LONG_BRACKET,
              end: CLOSING_LONG_BRACKET,
              contains: [LONG_BRACKETS],
              relevance: 5
            }
          ])
        };
      }
      module.exports = lua;
    }
  });

  // node_modules/highlight.js/lib/languages/makefile.js
  var require_makefile = __commonJS({
    "node_modules/highlight.js/lib/languages/makefile.js"(exports, module) {
      function makefile(hljs) {
        const VARIABLE = {
          className: "variable",
          variants: [
            {
              begin: "\\$\\(" + hljs.UNDERSCORE_IDENT_RE + "\\)",
              contains: [hljs.BACKSLASH_ESCAPE]
            },
            { begin: /\$[@%<?\^\+\*]/ }
          ]
        };
        const QUOTE_STRING = {
          className: "string",
          begin: /"/,
          end: /"/,
          contains: [
            hljs.BACKSLASH_ESCAPE,
            VARIABLE
          ]
        };
        const FUNC = {
          className: "variable",
          begin: /\$\([\w-]+\s/,
          end: /\)/,
          keywords: { built_in: "subst patsubst strip findstring filter filter-out sort word wordlist firstword lastword dir notdir suffix basename addsuffix addprefix join wildcard realpath abspath error warning shell origin flavor foreach if or and call eval file value" },
          contains: [
            VARIABLE,
            QUOTE_STRING
            // Added QUOTE_STRING as they can be a part of functions
          ]
        };
        const ASSIGNMENT = { begin: "^" + hljs.UNDERSCORE_IDENT_RE + "\\s*(?=[:+?]?=)" };
        const META = {
          className: "meta",
          begin: /^\.PHONY:/,
          end: /$/,
          keywords: {
            $pattern: /[\.\w]+/,
            keyword: ".PHONY"
          }
        };
        const TARGET = {
          className: "section",
          begin: /^[^\s]+:/,
          end: /$/,
          contains: [VARIABLE]
        };
        return {
          name: "Makefile",
          aliases: [
            "mk",
            "mak",
            "make"
          ],
          keywords: {
            $pattern: /[\w-]+/,
            keyword: "define endef undefine ifdef ifndef ifeq ifneq else endif include -include sinclude override export unexport private vpath"
          },
          contains: [
            hljs.HASH_COMMENT_MODE,
            VARIABLE,
            QUOTE_STRING,
            FUNC,
            ASSIGNMENT,
            META,
            TARGET
          ]
        };
      }
      module.exports = makefile;
    }
  });

  // node_modules/highlight.js/lib/languages/mathematica.js
  var require_mathematica = __commonJS({
    "node_modules/highlight.js/lib/languages/mathematica.js"(exports, module) {
      var SYSTEM_SYMBOLS = [
        "AASTriangle",
        "AbelianGroup",
        "Abort",
        "AbortKernels",
        "AbortProtect",
        "AbortScheduledTask",
        "Above",
        "Abs",
        "AbsArg",
        "AbsArgPlot",
        "Absolute",
        "AbsoluteCorrelation",
        "AbsoluteCorrelationFunction",
        "AbsoluteCurrentValue",
        "AbsoluteDashing",
        "AbsoluteFileName",
        "AbsoluteOptions",
        "AbsolutePointSize",
        "AbsoluteThickness",
        "AbsoluteTime",
        "AbsoluteTiming",
        "AcceptanceThreshold",
        "AccountingForm",
        "Accumulate",
        "Accuracy",
        "AccuracyGoal",
        "AcousticAbsorbingValue",
        "AcousticImpedanceValue",
        "AcousticNormalVelocityValue",
        "AcousticPDEComponent",
        "AcousticPressureCondition",
        "AcousticRadiationValue",
        "AcousticSoundHardValue",
        "AcousticSoundSoftCondition",
        "ActionDelay",
        "ActionMenu",
        "ActionMenuBox",
        "ActionMenuBoxOptions",
        "Activate",
        "Active",
        "ActiveClassification",
        "ActiveClassificationObject",
        "ActiveItem",
        "ActivePrediction",
        "ActivePredictionObject",
        "ActiveStyle",
        "AcyclicGraphQ",
        "AddOnHelpPath",
        "AddSides",
        "AddTo",
        "AddToSearchIndex",
        "AddUsers",
        "AdjacencyGraph",
        "AdjacencyList",
        "AdjacencyMatrix",
        "AdjacentMeshCells",
        "Adjugate",
        "AdjustmentBox",
        "AdjustmentBoxOptions",
        "AdjustTimeSeriesForecast",
        "AdministrativeDivisionData",
        "AffineHalfSpace",
        "AffineSpace",
        "AffineStateSpaceModel",
        "AffineTransform",
        "After",
        "AggregatedEntityClass",
        "AggregationLayer",
        "AircraftData",
        "AirportData",
        "AirPressureData",
        "AirSoundAttenuation",
        "AirTemperatureData",
        "AiryAi",
        "AiryAiPrime",
        "AiryAiZero",
        "AiryBi",
        "AiryBiPrime",
        "AiryBiZero",
        "AlgebraicIntegerQ",
        "AlgebraicNumber",
        "AlgebraicNumberDenominator",
        "AlgebraicNumberNorm",
        "AlgebraicNumberPolynomial",
        "AlgebraicNumberTrace",
        "AlgebraicRules",
        "AlgebraicRulesData",
        "Algebraics",
        "AlgebraicUnitQ",
        "Alignment",
        "AlignmentMarker",
        "AlignmentPoint",
        "All",
        "AllowAdultContent",
        "AllowChatServices",
        "AllowedCloudExtraParameters",
        "AllowedCloudParameterExtensions",
        "AllowedDimensions",
        "AllowedFrequencyRange",
        "AllowedHeads",
        "AllowGroupClose",
        "AllowIncomplete",
        "AllowInlineCells",
        "AllowKernelInitialization",
        "AllowLooseGrammar",
        "AllowReverseGroupClose",
        "AllowScriptLevelChange",
        "AllowVersionUpdate",
        "AllTrue",
        "Alphabet",
        "AlphabeticOrder",
        "AlphabeticSort",
        "AlphaChannel",
        "AlternateImage",
        "AlternatingFactorial",
        "AlternatingGroup",
        "AlternativeHypothesis",
        "Alternatives",
        "AltitudeMethod",
        "AmbientLight",
        "AmbiguityFunction",
        "AmbiguityList",
        "Analytic",
        "AnatomyData",
        "AnatomyForm",
        "AnatomyPlot3D",
        "AnatomySkinStyle",
        "AnatomyStyling",
        "AnchoredSearch",
        "And",
        "AndersonDarlingTest",
        "AngerJ",
        "AngleBisector",
        "AngleBracket",
        "AnglePath",
        "AnglePath3D",
        "AngleVector",
        "AngularGauge",
        "Animate",
        "AnimatedImage",
        "AnimationCycleOffset",
        "AnimationCycleRepetitions",
        "AnimationDirection",
        "AnimationDisplayTime",
        "AnimationRate",
        "AnimationRepetitions",
        "AnimationRunning",
        "AnimationRunTime",
        "AnimationTimeIndex",
        "AnimationVideo",
        "Animator",
        "AnimatorBox",
        "AnimatorBoxOptions",
        "AnimatorElements",
        "Annotate",
        "Annotation",
        "AnnotationDelete",
        "AnnotationKeys",
        "AnnotationRules",
        "AnnotationValue",
        "Annuity",
        "AnnuityDue",
        "Annulus",
        "AnomalyDetection",
        "AnomalyDetector",
        "AnomalyDetectorFunction",
        "Anonymous",
        "Antialiasing",
        "Antihermitian",
        "AntihermitianMatrixQ",
        "Antisymmetric",
        "AntisymmetricMatrixQ",
        "Antonyms",
        "AnyOrder",
        "AnySubset",
        "AnyTrue",
        "Apart",
        "ApartSquareFree",
        "APIFunction",
        "Appearance",
        "AppearanceElements",
        "AppearanceRules",
        "AppellF1",
        "Append",
        "AppendCheck",
        "AppendLayer",
        "AppendTo",
        "Application",
        "Apply",
        "ApplyReaction",
        "ApplySides",
        "ApplyTo",
        "ArcCos",
        "ArcCosh",
        "ArcCot",
        "ArcCoth",
        "ArcCsc",
        "ArcCsch",
        "ArcCurvature",
        "ARCHProcess",
        "ArcLength",
        "ArcSec",
        "ArcSech",
        "ArcSin",
        "ArcSinDistribution",
        "ArcSinh",
        "ArcTan",
        "ArcTanh",
        "Area",
        "Arg",
        "ArgMax",
        "ArgMin",
        "ArgumentCountQ",
        "ArgumentsOptions",
        "ARIMAProcess",
        "ArithmeticGeometricMean",
        "ARMAProcess",
        "Around",
        "AroundReplace",
        "ARProcess",
        "Array",
        "ArrayComponents",
        "ArrayDepth",
        "ArrayFilter",
        "ArrayFlatten",
        "ArrayMesh",
        "ArrayPad",
        "ArrayPlot",
        "ArrayPlot3D",
        "ArrayQ",
        "ArrayReduce",
        "ArrayResample",
        "ArrayReshape",
        "ArrayRules",
        "Arrays",
        "Arrow",
        "Arrow3DBox",
        "ArrowBox",
        "Arrowheads",
        "ASATriangle",
        "Ask",
        "AskAppend",
        "AskConfirm",
        "AskDisplay",
        "AskedQ",
        "AskedValue",
        "AskFunction",
        "AskState",
        "AskTemplateDisplay",
        "AspectRatio",
        "AspectRatioFixed",
        "Assert",
        "AssessmentFunction",
        "AssessmentResultObject",
        "AssociateTo",
        "Association",
        "AssociationFormat",
        "AssociationMap",
        "AssociationQ",
        "AssociationThread",
        "AssumeDeterministic",
        "Assuming",
        "Assumptions",
        "AstroAngularSeparation",
        "AstroBackground",
        "AstroCenter",
        "AstroDistance",
        "AstroGraphics",
        "AstroGridLines",
        "AstroGridLinesStyle",
        "AstronomicalData",
        "AstroPosition",
        "AstroProjection",
        "AstroRange",
        "AstroRangePadding",
        "AstroReferenceFrame",
        "AstroStyling",
        "AstroZoomLevel",
        "Asymptotic",
        "AsymptoticDSolveValue",
        "AsymptoticEqual",
        "AsymptoticEquivalent",
        "AsymptoticExpectation",
        "AsymptoticGreater",
        "AsymptoticGreaterEqual",
        "AsymptoticIntegrate",
        "AsymptoticLess",
        "AsymptoticLessEqual",
        "AsymptoticOutputTracker",
        "AsymptoticProbability",
        "AsymptoticProduct",
        "AsymptoticRSolveValue",
        "AsymptoticSolve",
        "AsymptoticSum",
        "Asynchronous",
        "AsynchronousTaskObject",
        "AsynchronousTasks",
        "Atom",
        "AtomCoordinates",
        "AtomCount",
        "AtomDiagramCoordinates",
        "AtomLabels",
        "AtomLabelStyle",
        "AtomList",
        "AtomQ",
        "AttachCell",
        "AttachedCell",
        "AttentionLayer",
        "Attributes",
        "Audio",
        "AudioAmplify",
        "AudioAnnotate",
        "AudioAnnotationLookup",
        "AudioBlockMap",
        "AudioCapture",
        "AudioChannelAssignment",
        "AudioChannelCombine",
        "AudioChannelMix",
        "AudioChannels",
        "AudioChannelSeparate",
        "AudioData",
        "AudioDelay",
        "AudioDelete",
        "AudioDevice",
        "AudioDistance",
        "AudioEncoding",
        "AudioFade",
        "AudioFrequencyShift",
        "AudioGenerator",
        "AudioIdentify",
        "AudioInputDevice",
        "AudioInsert",
        "AudioInstanceQ",
        "AudioIntervals",
        "AudioJoin",
        "AudioLabel",
        "AudioLength",
        "AudioLocalMeasurements",
        "AudioLooping",
        "AudioLoudness",
        "AudioMeasurements",
        "AudioNormalize",
        "AudioOutputDevice",
        "AudioOverlay",
        "AudioPad",
        "AudioPan",
        "AudioPartition",
        "AudioPause",
        "AudioPitchShift",
        "AudioPlay",
        "AudioPlot",
        "AudioQ",
        "AudioRecord",
        "AudioReplace",
        "AudioResample",
        "AudioReverb",
        "AudioReverse",
        "AudioSampleRate",
        "AudioSpectralMap",
        "AudioSpectralTransformation",
        "AudioSplit",
        "AudioStop",
        "AudioStream",
        "AudioStreams",
        "AudioTimeStretch",
        "AudioTrackApply",
        "AudioTrackSelection",
        "AudioTrim",
        "AudioType",
        "AugmentedPolyhedron",
        "AugmentedSymmetricPolynomial",
        "Authenticate",
        "Authentication",
        "AuthenticationDialog",
        "AutoAction",
        "Autocomplete",
        "AutocompletionFunction",
        "AutoCopy",
        "AutocorrelationTest",
        "AutoDelete",
        "AutoEvaluateEvents",
        "AutoGeneratedPackage",
        "AutoIndent",
        "AutoIndentSpacings",
        "AutoItalicWords",
        "AutoloadPath",
        "AutoMatch",
        "Automatic",
        "AutomaticImageSize",
        "AutoMultiplicationSymbol",
        "AutoNumberFormatting",
        "AutoOpenNotebooks",
        "AutoOpenPalettes",
        "AutoOperatorRenderings",
        "AutoQuoteCharacters",
        "AutoRefreshed",
        "AutoRemove",
        "AutorunSequencing",
        "AutoScaling",
        "AutoScroll",
        "AutoSpacing",
        "AutoStyleOptions",
        "AutoStyleWords",
        "AutoSubmitting",
        "Axes",
        "AxesEdge",
        "AxesLabel",
        "AxesOrigin",
        "AxesStyle",
        "AxiomaticTheory",
        "Axis",
        "Axis3DBox",
        "Axis3DBoxOptions",
        "AxisBox",
        "AxisBoxOptions",
        "AxisLabel",
        "AxisObject",
        "AxisStyle",
        "BabyMonsterGroupB",
        "Back",
        "BackFaceColor",
        "BackFaceGlowColor",
        "BackFaceOpacity",
        "BackFaceSpecularColor",
        "BackFaceSpecularExponent",
        "BackFaceSurfaceAppearance",
        "BackFaceTexture",
        "Background",
        "BackgroundAppearance",
        "BackgroundTasksSettings",
        "Backslash",
        "Backsubstitution",
        "Backward",
        "Ball",
        "Band",
        "BandpassFilter",
        "BandstopFilter",
        "BarabasiAlbertGraphDistribution",
        "BarChart",
        "BarChart3D",
        "BarcodeImage",
        "BarcodeRecognize",
        "BaringhausHenzeTest",
        "BarLegend",
        "BarlowProschanImportance",
        "BarnesG",
        "BarOrigin",
        "BarSpacing",
        "BartlettHannWindow",
        "BartlettWindow",
        "BaseDecode",
        "BaseEncode",
        "BaseForm",
        "Baseline",
        "BaselinePosition",
        "BaseStyle",
        "BasicRecurrentLayer",
        "BatchNormalizationLayer",
        "BatchSize",
        "BatesDistribution",
        "BattleLemarieWavelet",
        "BayesianMaximization",
        "BayesianMaximizationObject",
        "BayesianMinimization",
        "BayesianMinimizationObject",
        "Because",
        "BeckmannDistribution",
        "Beep",
        "Before",
        "Begin",
        "BeginDialogPacket",
        "BeginPackage",
        "BellB",
        "BellY",
        "Below",
        "BenfordDistribution",
        "BeniniDistribution",
        "BenktanderGibratDistribution",
        "BenktanderWeibullDistribution",
        "BernoulliB",
        "BernoulliDistribution",
        "BernoulliGraphDistribution",
        "BernoulliProcess",
        "BernsteinBasis",
        "BesagL",
        "BesselFilterModel",
        "BesselI",
        "BesselJ",
        "BesselJZero",
        "BesselK",
        "BesselY",
        "BesselYZero",
        "Beta",
        "BetaBinomialDistribution",
        "BetaDistribution",
        "BetaNegativeBinomialDistribution",
        "BetaPrimeDistribution",
        "BetaRegularized",
        "Between",
        "BetweennessCentrality",
        "Beveled",
        "BeveledPolyhedron",
        "BezierCurve",
        "BezierCurve3DBox",
        "BezierCurve3DBoxOptions",
        "BezierCurveBox",
        "BezierCurveBoxOptions",
        "BezierFunction",
        "BilateralFilter",
        "BilateralLaplaceTransform",
        "BilateralZTransform",
        "Binarize",
        "BinaryDeserialize",
        "BinaryDistance",
        "BinaryFormat",
        "BinaryImageQ",
        "BinaryRead",
        "BinaryReadList",
        "BinarySerialize",
        "BinaryWrite",
        "BinCounts",
        "BinLists",
        "BinnedVariogramList",
        "Binomial",
        "BinomialDistribution",
        "BinomialPointProcess",
        "BinomialProcess",
        "BinormalDistribution",
        "BiorthogonalSplineWavelet",
        "BioSequence",
        "BioSequenceBackTranslateList",
        "BioSequenceComplement",
        "BioSequenceInstances",
        "BioSequenceModify",
        "BioSequencePlot",
        "BioSequenceQ",
        "BioSequenceReverseComplement",
        "BioSequenceTranscribe",
        "BioSequenceTranslate",
        "BipartiteGraphQ",
        "BiquadraticFilterModel",
        "BirnbaumImportance",
        "BirnbaumSaundersDistribution",
        "BitAnd",
        "BitClear",
        "BitGet",
        "BitLength",
        "BitNot",
        "BitOr",
        "BitRate",
        "BitSet",
        "BitShiftLeft",
        "BitShiftRight",
        "BitXor",
        "BiweightLocation",
        "BiweightMidvariance",
        "Black",
        "BlackmanHarrisWindow",
        "BlackmanNuttallWindow",
        "BlackmanWindow",
        "Blank",
        "BlankForm",
        "BlankNullSequence",
        "BlankSequence",
        "Blend",
        "Block",
        "BlockchainAddressData",
        "BlockchainBase",
        "BlockchainBlockData",
        "BlockchainContractValue",
        "BlockchainData",
        "BlockchainGet",
        "BlockchainKeyEncode",
        "BlockchainPut",
        "BlockchainTokenData",
        "BlockchainTransaction",
        "BlockchainTransactionData",
        "BlockchainTransactionSign",
        "BlockchainTransactionSubmit",
        "BlockDiagonalMatrix",
        "BlockLowerTriangularMatrix",
        "BlockMap",
        "BlockRandom",
        "BlockUpperTriangularMatrix",
        "BlomqvistBeta",
        "BlomqvistBetaTest",
        "Blue",
        "Blur",
        "Blurring",
        "BodePlot",
        "BohmanWindow",
        "Bold",
        "Bond",
        "BondCount",
        "BondLabels",
        "BondLabelStyle",
        "BondList",
        "BondQ",
        "Bookmarks",
        "Boole",
        "BooleanConsecutiveFunction",
        "BooleanConvert",
        "BooleanCountingFunction",
        "BooleanFunction",
        "BooleanGraph",
        "BooleanMaxterms",
        "BooleanMinimize",
        "BooleanMinterms",
        "BooleanQ",
        "BooleanRegion",
        "Booleans",
        "BooleanStrings",
        "BooleanTable",
        "BooleanVariables",
        "BorderDimensions",
        "BorelTannerDistribution",
        "Bottom",
        "BottomHatTransform",
        "BoundaryDiscretizeGraphics",
        "BoundaryDiscretizeRegion",
        "BoundaryMesh",
        "BoundaryMeshRegion",
        "BoundaryMeshRegionQ",
        "BoundaryStyle",
        "BoundedRegionQ",
        "BoundingRegion",
        "Bounds",
        "Box",
        "BoxBaselineShift",
        "BoxData",
        "BoxDimensions",
        "Boxed",
        "Boxes",
        "BoxForm",
        "BoxFormFormatTypes",
        "BoxFrame",
        "BoxID",
        "BoxMargins",
        "BoxMatrix",
        "BoxObject",
        "BoxRatios",
        "BoxRotation",
        "BoxRotationPoint",
        "BoxStyle",
        "BoxWhiskerChart",
        "Bra",
        "BracketingBar",
        "BraKet",
        "BrayCurtisDistance",
        "BreadthFirstScan",
        "Break",
        "BridgeData",
        "BrightnessEqualize",
        "BroadcastStationData",
        "Brown",
        "BrownForsytheTest",
        "BrownianBridgeProcess",
        "BrowserCategory",
        "BSplineBasis",
        "BSplineCurve",
        "BSplineCurve3DBox",
        "BSplineCurve3DBoxOptions",
        "BSplineCurveBox",
        "BSplineCurveBoxOptions",
        "BSplineFunction",
        "BSplineSurface",
        "BSplineSurface3DBox",
        "BSplineSurface3DBoxOptions",
        "BubbleChart",
        "BubbleChart3D",
        "BubbleScale",
        "BubbleSizes",
        "BuckyballGraph",
        "BuildCompiledComponent",
        "BuildingData",
        "BulletGauge",
        "BusinessDayQ",
        "ButterflyGraph",
        "ButterworthFilterModel",
        "Button",
        "ButtonBar",
        "ButtonBox",
        "ButtonBoxOptions",
        "ButtonCell",
        "ButtonContents",
        "ButtonData",
        "ButtonEvaluator",
        "ButtonExpandable",
        "ButtonFrame",
        "ButtonFunction",
        "ButtonMargins",
        "ButtonMinHeight",
        "ButtonNote",
        "ButtonNotebook",
        "ButtonSource",
        "ButtonStyle",
        "ButtonStyleMenuListing",
        "Byte",
        "ByteArray",
        "ByteArrayFormat",
        "ByteArrayFormatQ",
        "ByteArrayQ",
        "ByteArrayToString",
        "ByteCount",
        "ByteOrdering",
        "C",
        "CachedValue",
        "CacheGraphics",
        "CachePersistence",
        "CalendarConvert",
        "CalendarData",
        "CalendarType",
        "Callout",
        "CalloutMarker",
        "CalloutStyle",
        "CallPacket",
        "CanberraDistance",
        "Cancel",
        "CancelButton",
        "CandlestickChart",
        "CanonicalGraph",
        "CanonicalizePolygon",
        "CanonicalizePolyhedron",
        "CanonicalizeRegion",
        "CanonicalName",
        "CanonicalWarpingCorrespondence",
        "CanonicalWarpingDistance",
        "CantorMesh",
        "CantorStaircase",
        "Canvas",
        "Cap",
        "CapForm",
        "CapitalDifferentialD",
        "Capitalize",
        "CapsuleShape",
        "CaptureRunning",
        "CaputoD",
        "CardinalBSplineBasis",
        "CarlemanLinearize",
        "CarlsonRC",
        "CarlsonRD",
        "CarlsonRE",
        "CarlsonRF",
        "CarlsonRG",
        "CarlsonRJ",
        "CarlsonRK",
        "CarlsonRM",
        "CarmichaelLambda",
        "CaseOrdering",
        "Cases",
        "CaseSensitive",
        "Cashflow",
        "Casoratian",
        "Cast",
        "Catalan",
        "CatalanNumber",
        "Catch",
        "CategoricalDistribution",
        "Catenate",
        "CatenateLayer",
        "CauchyDistribution",
        "CauchyMatrix",
        "CauchyPointProcess",
        "CauchyWindow",
        "CayleyGraph",
        "CDF",
        "CDFDeploy",
        "CDFInformation",
        "CDFWavelet",
        "Ceiling",
        "CelestialSystem",
        "Cell",
        "CellAutoOverwrite",
        "CellBaseline",
        "CellBoundingBox",
        "CellBracketOptions",
        "CellChangeTimes",
        "CellContents",
        "CellContext",
        "CellDingbat",
        "CellDingbatMargin",
        "CellDynamicExpression",
        "CellEditDuplicate",
        "CellElementsBoundingBox",
        "CellElementSpacings",
        "CellEpilog",
        "CellEvaluationDuplicate",
        "CellEvaluationFunction",
        "CellEvaluationLanguage",
        "CellEventActions",
        "CellFrame",
        "CellFrameColor",
        "CellFrameLabelMargins",
        "CellFrameLabels",
        "CellFrameMargins",
        "CellFrameStyle",
        "CellGroup",
        "CellGroupData",
        "CellGrouping",
        "CellGroupingRules",
        "CellHorizontalScrolling",
        "CellID",
        "CellInsertionPointCell",
        "CellLabel",
        "CellLabelAutoDelete",
        "CellLabelMargins",
        "CellLabelPositioning",
        "CellLabelStyle",
        "CellLabelTemplate",
        "CellMargins",
        "CellObject",
        "CellOpen",
        "CellPrint",
        "CellProlog",
        "Cells",
        "CellSize",
        "CellStyle",
        "CellTags",
        "CellTrayPosition",
        "CellTrayWidgets",
        "CellularAutomaton",
        "CensoredDistribution",
        "Censoring",
        "Center",
        "CenterArray",
        "CenterDot",
        "CenteredInterval",
        "CentralFeature",
        "CentralMoment",
        "CentralMomentGeneratingFunction",
        "Cepstrogram",
        "CepstrogramArray",
        "CepstrumArray",
        "CForm",
        "ChampernowneNumber",
        "ChangeOptions",
        "ChannelBase",
        "ChannelBrokerAction",
        "ChannelDatabin",
        "ChannelHistoryLength",
        "ChannelListen",
        "ChannelListener",
        "ChannelListeners",
        "ChannelListenerWait",
        "ChannelObject",
        "ChannelPreSendFunction",
        "ChannelReceiverFunction",
        "ChannelSend",
        "ChannelSubscribers",
        "ChanVeseBinarize",
        "Character",
        "CharacterCounts",
        "CharacterEncoding",
        "CharacterEncodingsPath",
        "CharacteristicFunction",
        "CharacteristicPolynomial",
        "CharacterName",
        "CharacterNormalize",
        "CharacterRange",
        "Characters",
        "ChartBaseStyle",
        "ChartElementData",
        "ChartElementDataFunction",
        "ChartElementFunction",
        "ChartElements",
        "ChartLabels",
        "ChartLayout",
        "ChartLegends",
        "ChartStyle",
        "Chebyshev1FilterModel",
        "Chebyshev2FilterModel",
        "ChebyshevDistance",
        "ChebyshevT",
        "ChebyshevU",
        "Check",
        "CheckAbort",
        "CheckAll",
        "CheckArguments",
        "Checkbox",
        "CheckboxBar",
        "CheckboxBox",
        "CheckboxBoxOptions",
        "ChemicalConvert",
        "ChemicalData",
        "ChemicalFormula",
        "ChemicalInstance",
        "ChemicalReaction",
        "ChessboardDistance",
        "ChiDistribution",
        "ChineseRemainder",
        "ChiSquareDistribution",
        "ChoiceButtons",
        "ChoiceDialog",
        "CholeskyDecomposition",
        "Chop",
        "ChromaticityPlot",
        "ChromaticityPlot3D",
        "ChromaticPolynomial",
        "Circle",
        "CircleBox",
        "CircleDot",
        "CircleMinus",
        "CirclePlus",
        "CirclePoints",
        "CircleThrough",
        "CircleTimes",
        "CirculantGraph",
        "CircularArcThrough",
        "CircularOrthogonalMatrixDistribution",
        "CircularQuaternionMatrixDistribution",
        "CircularRealMatrixDistribution",
        "CircularSymplecticMatrixDistribution",
        "CircularUnitaryMatrixDistribution",
        "Circumsphere",
        "CityData",
        "ClassifierFunction",
        "ClassifierInformation",
        "ClassifierMeasurements",
        "ClassifierMeasurementsObject",
        "Classify",
        "ClassPriors",
        "Clear",
        "ClearAll",
        "ClearAttributes",
        "ClearCookies",
        "ClearPermissions",
        "ClearSystemCache",
        "ClebschGordan",
        "ClickPane",
        "ClickToCopy",
        "ClickToCopyEnabled",
        "Clip",
        "ClipboardNotebook",
        "ClipFill",
        "ClippingStyle",
        "ClipPlanes",
        "ClipPlanesStyle",
        "ClipRange",
        "Clock",
        "ClockGauge",
        "ClockwiseContourIntegral",
        "Close",
        "Closed",
        "CloseKernels",
        "ClosenessCentrality",
        "Closing",
        "ClosingAutoSave",
        "ClosingEvent",
        "CloudAccountData",
        "CloudBase",
        "CloudConnect",
        "CloudConnections",
        "CloudDeploy",
        "CloudDirectory",
        "CloudDisconnect",
        "CloudEvaluate",
        "CloudExport",
        "CloudExpression",
        "CloudExpressions",
        "CloudFunction",
        "CloudGet",
        "CloudImport",
        "CloudLoggingData",
        "CloudObject",
        "CloudObjectInformation",
        "CloudObjectInformationData",
        "CloudObjectNameFormat",
        "CloudObjects",
        "CloudObjectURLType",
        "CloudPublish",
        "CloudPut",
        "CloudRenderingMethod",
        "CloudSave",
        "CloudShare",
        "CloudSubmit",
        "CloudSymbol",
        "CloudUnshare",
        "CloudUserID",
        "ClusterClassify",
        "ClusterDissimilarityFunction",
        "ClusteringComponents",
        "ClusteringMeasurements",
        "ClusteringTree",
        "CMYKColor",
        "Coarse",
        "CodeAssistOptions",
        "Coefficient",
        "CoefficientArrays",
        "CoefficientDomain",
        "CoefficientList",
        "CoefficientRules",
        "CoifletWavelet",
        "Collect",
        "CollinearPoints",
        "Colon",
        "ColonForm",
        "ColorBalance",
        "ColorCombine",
        "ColorConvert",
        "ColorCoverage",
        "ColorData",
        "ColorDataFunction",
        "ColorDetect",
        "ColorDistance",
        "ColorFunction",
        "ColorFunctionBinning",
        "ColorFunctionScaling",
        "Colorize",
        "ColorNegate",
        "ColorOutput",
        "ColorProfileData",
        "ColorQ",
        "ColorQuantize",
        "ColorReplace",
        "ColorRules",
        "ColorSelectorSettings",
        "ColorSeparate",
        "ColorSetter",
        "ColorSetterBox",
        "ColorSetterBoxOptions",
        "ColorSlider",
        "ColorsNear",
        "ColorSpace",
        "ColorToneMapping",
        "Column",
        "ColumnAlignments",
        "ColumnBackgrounds",
        "ColumnForm",
        "ColumnLines",
        "ColumnsEqual",
        "ColumnSpacings",
        "ColumnWidths",
        "CombinatorB",
        "CombinatorC",
        "CombinatorI",
        "CombinatorK",
        "CombinatorS",
        "CombinatorW",
        "CombinatorY",
        "CombinedEntityClass",
        "CombinerFunction",
        "CometData",
        "CommonDefaultFormatTypes",
        "Commonest",
        "CommonestFilter",
        "CommonName",
        "CommonUnits",
        "CommunityBoundaryStyle",
        "CommunityGraphPlot",
        "CommunityLabels",
        "CommunityRegionStyle",
        "CompanyData",
        "CompatibleUnitQ",
        "CompilationOptions",
        "CompilationTarget",
        "Compile",
        "Compiled",
        "CompiledCodeFunction",
        "CompiledComponent",
        "CompiledExpressionDeclaration",
        "CompiledFunction",
        "CompiledLayer",
        "CompilerCallback",
        "CompilerEnvironment",
        "CompilerEnvironmentAppend",
        "CompilerEnvironmentAppendTo",
        "CompilerEnvironmentObject",
        "CompilerOptions",
        "Complement",
        "ComplementedEntityClass",
        "CompleteGraph",
        "CompleteGraphQ",
        "CompleteIntegral",
        "CompleteKaryTree",
        "CompletionsListPacket",
        "Complex",
        "ComplexArrayPlot",
        "ComplexContourPlot",
        "Complexes",
        "ComplexExpand",
        "ComplexInfinity",
        "ComplexityFunction",
        "ComplexListPlot",
        "ComplexPlot",
        "ComplexPlot3D",
        "ComplexRegionPlot",
        "ComplexStreamPlot",
        "ComplexVectorPlot",
        "ComponentMeasurements",
        "ComponentwiseContextMenu",
        "Compose",
        "ComposeList",
        "ComposeSeries",
        "CompositeQ",
        "Composition",
        "CompoundElement",
        "CompoundExpression",
        "CompoundPoissonDistribution",
        "CompoundPoissonProcess",
        "CompoundRenewalProcess",
        "Compress",
        "CompressedData",
        "CompressionLevel",
        "ComputeUncertainty",
        "ConcaveHullMesh",
        "Condition",
        "ConditionalExpression",
        "Conditioned",
        "Cone",
        "ConeBox",
        "ConfidenceLevel",
        "ConfidenceRange",
        "ConfidenceTransform",
        "ConfigurationPath",
        "Confirm",
        "ConfirmAssert",
        "ConfirmBy",
        "ConfirmMatch",
        "ConfirmQuiet",
        "ConformationMethod",
        "ConformAudio",
        "ConformImages",
        "Congruent",
        "ConicGradientFilling",
        "ConicHullRegion",
        "ConicHullRegion3DBox",
        "ConicHullRegion3DBoxOptions",
        "ConicHullRegionBox",
        "ConicHullRegionBoxOptions",
        "ConicOptimization",
        "Conjugate",
        "ConjugateTranspose",
        "Conjunction",
        "Connect",
        "ConnectedComponents",
        "ConnectedGraphComponents",
        "ConnectedGraphQ",
        "ConnectedMeshComponents",
        "ConnectedMoleculeComponents",
        "ConnectedMoleculeQ",
        "ConnectionSettings",
        "ConnectLibraryCallbackFunction",
        "ConnectSystemModelComponents",
        "ConnectSystemModelController",
        "ConnesWindow",
        "ConoverTest",
        "ConservativeConvectionPDETerm",
        "ConsoleMessage",
        "Constant",
        "ConstantArray",
        "ConstantArrayLayer",
        "ConstantImage",
        "ConstantPlusLayer",
        "ConstantRegionQ",
        "Constants",
        "ConstantTimesLayer",
        "ConstellationData",
        "ConstrainedMax",
        "ConstrainedMin",
        "Construct",
        "Containing",
        "ContainsAll",
        "ContainsAny",
        "ContainsExactly",
        "ContainsNone",
        "ContainsOnly",
        "ContentDetectorFunction",
        "ContentFieldOptions",
        "ContentLocationFunction",
        "ContentObject",
        "ContentPadding",
        "ContentsBoundingBox",
        "ContentSelectable",
        "ContentSize",
        "Context",
        "ContextMenu",
        "Contexts",
        "ContextToFileName",
        "Continuation",
        "Continue",
        "ContinuedFraction",
        "ContinuedFractionK",
        "ContinuousAction",
        "ContinuousMarkovProcess",
        "ContinuousTask",
        "ContinuousTimeModelQ",
        "ContinuousWaveletData",
        "ContinuousWaveletTransform",
        "ContourDetect",
        "ContourGraphics",
        "ContourIntegral",
        "ContourLabels",
        "ContourLines",
        "ContourPlot",
        "ContourPlot3D",
        "Contours",
        "ContourShading",
        "ContourSmoothing",
        "ContourStyle",
        "ContraharmonicMean",
        "ContrastiveLossLayer",
        "Control",
        "ControlActive",
        "ControlAlignment",
        "ControlGroupContentsBox",
        "ControllabilityGramian",
        "ControllabilityMatrix",
        "ControllableDecomposition",
        "ControllableModelQ",
        "ControllerDuration",
        "ControllerInformation",
        "ControllerInformationData",
        "ControllerLinking",
        "ControllerManipulate",
        "ControllerMethod",
        "ControllerPath",
        "ControllerState",
        "ControlPlacement",
        "ControlsRendering",
        "ControlType",
        "ConvectionPDETerm",
        "Convergents",
        "ConversionOptions",
        "ConversionRules",
        "ConvertToPostScript",
        "ConvertToPostScriptPacket",
        "ConvexHullMesh",
        "ConvexHullRegion",
        "ConvexOptimization",
        "ConvexPolygonQ",
        "ConvexPolyhedronQ",
        "ConvexRegionQ",
        "ConvolutionLayer",
        "Convolve",
        "ConwayGroupCo1",
        "ConwayGroupCo2",
        "ConwayGroupCo3",
        "CookieFunction",
        "Cookies",
        "CoordinateBoundingBox",
        "CoordinateBoundingBoxArray",
        "CoordinateBounds",
        "CoordinateBoundsArray",
        "CoordinateChartData",
        "CoordinatesToolOptions",
        "CoordinateTransform",
        "CoordinateTransformData",
        "CoplanarPoints",
        "CoprimeQ",
        "Coproduct",
        "CopulaDistribution",
        "Copyable",
        "CopyDatabin",
        "CopyDirectory",
        "CopyFile",
        "CopyFunction",
        "CopyTag",
        "CopyToClipboard",
        "CoreNilpotentDecomposition",
        "CornerFilter",
        "CornerNeighbors",
        "Correlation",
        "CorrelationDistance",
        "CorrelationFunction",
        "CorrelationTest",
        "Cos",
        "Cosh",
        "CoshIntegral",
        "CosineDistance",
        "CosineWindow",
        "CosIntegral",
        "Cot",
        "Coth",
        "CoulombF",
        "CoulombG",
        "CoulombH1",
        "CoulombH2",
        "Count",
        "CountDistinct",
        "CountDistinctBy",
        "CounterAssignments",
        "CounterBox",
        "CounterBoxOptions",
        "CounterClockwiseContourIntegral",
        "CounterEvaluator",
        "CounterFunction",
        "CounterIncrements",
        "CounterStyle",
        "CounterStyleMenuListing",
        "CountRoots",
        "CountryData",
        "Counts",
        "CountsBy",
        "Covariance",
        "CovarianceEstimatorFunction",
        "CovarianceFunction",
        "CoxianDistribution",
        "CoxIngersollRossProcess",
        "CoxModel",
        "CoxModelFit",
        "CramerVonMisesTest",
        "CreateArchive",
        "CreateCellID",
        "CreateChannel",
        "CreateCloudExpression",
        "CreateCompilerEnvironment",
        "CreateDatabin",
        "CreateDataStructure",
        "CreateDataSystemModel",
        "CreateDialog",
        "CreateDirectory",
        "CreateDocument",
        "CreateFile",
        "CreateIntermediateDirectories",
        "CreateLicenseEntitlement",
        "CreateManagedLibraryExpression",
        "CreateNotebook",
        "CreatePacletArchive",
        "CreatePalette",
        "CreatePermissionsGroup",
        "CreateScheduledTask",
        "CreateSearchIndex",
        "CreateSystemModel",
        "CreateTemporary",
        "CreateTypeInstance",
        "CreateUUID",
        "CreateWindow",
        "CriterionFunction",
        "CriticalityFailureImportance",
        "CriticalitySuccessImportance",
        "CriticalSection",
        "Cross",
        "CrossEntropyLossLayer",
        "CrossingCount",
        "CrossingDetect",
        "CrossingPolygon",
        "CrossMatrix",
        "Csc",
        "Csch",
        "CSGRegion",
        "CSGRegionQ",
        "CSGRegionTree",
        "CTCLossLayer",
        "Cube",
        "CubeRoot",
        "Cubics",
        "Cuboid",
        "CuboidBox",
        "CuboidBoxOptions",
        "Cumulant",
        "CumulantGeneratingFunction",
        "CumulativeFeatureImpactPlot",
        "Cup",
        "CupCap",
        "Curl",
        "CurlyDoubleQuote",
        "CurlyQuote",
        "CurrencyConvert",
        "CurrentDate",
        "CurrentImage",
        "CurrentNotebookImage",
        "CurrentScreenImage",
        "CurrentValue",
        "Curry",
        "CurryApplied",
        "CurvatureFlowFilter",
        "CurveClosed",
        "Cyan",
        "CycleGraph",
        "CycleIndexPolynomial",
        "Cycles",
        "CyclicGroup",
        "Cyclotomic",
        "Cylinder",
        "CylinderBox",
        "CylinderBoxOptions",
        "CylindricalDecomposition",
        "CylindricalDecompositionFunction",
        "D",
        "DagumDistribution",
        "DamData",
        "DamerauLevenshteinDistance",
        "DampingFactor",
        "Darker",
        "Dashed",
        "Dashing",
        "DatabaseConnect",
        "DatabaseDisconnect",
        "DatabaseReference",
        "Databin",
        "DatabinAdd",
        "DatabinRemove",
        "Databins",
        "DatabinSubmit",
        "DatabinUpload",
        "DataCompression",
        "DataDistribution",
        "DataRange",
        "DataReversed",
        "Dataset",
        "DatasetDisplayPanel",
        "DatasetTheme",
        "DataStructure",
        "DataStructureQ",
        "Date",
        "DateBounds",
        "Dated",
        "DateDelimiters",
        "DateDifference",
        "DatedUnit",
        "DateFormat",
        "DateFunction",
        "DateGranularity",
        "DateHistogram",
        "DateInterval",
        "DateList",
        "DateListLogPlot",
        "DateListPlot",
        "DateListStepPlot",
        "DateObject",
        "DateObjectQ",
        "DateOverlapsQ",
        "DatePattern",
        "DatePlus",
        "DateRange",
        "DateReduction",
        "DateScale",
        "DateSelect",
        "DateString",
        "DateTicksFormat",
        "DateValue",
        "DateWithinQ",
        "DaubechiesWavelet",
        "DavisDistribution",
        "DawsonF",
        "DayCount",
        "DayCountConvention",
        "DayHemisphere",
        "DaylightQ",
        "DayMatchQ",
        "DayName",
        "DayNightTerminator",
        "DayPlus",
        "DayRange",
        "DayRound",
        "DeBruijnGraph",
        "DeBruijnSequence",
        "Debug",
        "DebugTag",
        "Decapitalize",
        "Decimal",
        "DecimalForm",
        "DeclareCompiledComponent",
        "DeclareKnownSymbols",
        "DeclarePackage",
        "Decompose",
        "DeconvolutionLayer",
        "Decrement",
        "Decrypt",
        "DecryptFile",
        "DedekindEta",
        "DeepSpaceProbeData",
        "Default",
        "Default2DTool",
        "Default3DTool",
        "DefaultAttachedCellStyle",
        "DefaultAxesStyle",
        "DefaultBaseStyle",
        "DefaultBoxStyle",
        "DefaultButton",
        "DefaultColor",
        "DefaultControlPlacement",
        "DefaultDockedCellStyle",
        "DefaultDuplicateCellStyle",
        "DefaultDuration",
        "DefaultElement",
        "DefaultFaceGridsStyle",
        "DefaultFieldHintStyle",
        "DefaultFont",
        "DefaultFontProperties",
        "DefaultFormatType",
        "DefaultFrameStyle",
        "DefaultFrameTicksStyle",
        "DefaultGridLinesStyle",
        "DefaultInlineFormatType",
        "DefaultInputFormatType",
        "DefaultLabelStyle",
        "DefaultMenuStyle",
        "DefaultNaturalLanguage",
        "DefaultNewCellStyle",
        "DefaultNewInlineCellStyle",
        "DefaultNotebook",
        "DefaultOptions",
        "DefaultOutputFormatType",
        "DefaultPrintPrecision",
        "DefaultStyle",
        "DefaultStyleDefinitions",
        "DefaultTextFormatType",
        "DefaultTextInlineFormatType",
        "DefaultTicksStyle",
        "DefaultTooltipStyle",
        "DefaultValue",
        "DefaultValues",
        "Defer",
        "DefineExternal",
        "DefineInputStreamMethod",
        "DefineOutputStreamMethod",
        "DefineResourceFunction",
        "Definition",
        "Degree",
        "DegreeCentrality",
        "DegreeGraphDistribution",
        "DegreeLexicographic",
        "DegreeReverseLexicographic",
        "DEigensystem",
        "DEigenvalues",
        "Deinitialization",
        "Del",
        "DelaunayMesh",
        "Delayed",
        "Deletable",
        "Delete",
        "DeleteAdjacentDuplicates",
        "DeleteAnomalies",
        "DeleteBorderComponents",
        "DeleteCases",
        "DeleteChannel",
        "DeleteCloudExpression",
        "DeleteContents",
        "DeleteDirectory",
        "DeleteDuplicates",
        "DeleteDuplicatesBy",
        "DeleteElements",
        "DeleteFile",
        "DeleteMissing",
        "DeleteObject",
        "DeletePermissionsKey",
        "DeleteSearchIndex",
        "DeleteSmallComponents",
        "DeleteStopwords",
        "DeleteWithContents",
        "DeletionWarning",
        "DelimitedArray",
        "DelimitedSequence",
        "Delimiter",
        "DelimiterAutoMatching",
        "DelimiterFlashTime",
        "DelimiterMatching",
        "Delimiters",
        "DeliveryFunction",
        "Dendrogram",
        "Denominator",
        "DensityGraphics",
        "DensityHistogram",
        "DensityPlot",
        "DensityPlot3D",
        "DependentVariables",
        "Deploy",
        "Deployed",
        "Depth",
        "DepthFirstScan",
        "Derivative",
        "DerivativeFilter",
        "DerivativePDETerm",
        "DerivedKey",
        "DescriptorStateSpace",
        "DesignMatrix",
        "DestroyAfterEvaluation",
        "Det",
        "DeviceClose",
        "DeviceConfigure",
        "DeviceExecute",
        "DeviceExecuteAsynchronous",
        "DeviceObject",
        "DeviceOpen",
        "DeviceOpenQ",
        "DeviceRead",
        "DeviceReadBuffer",
        "DeviceReadLatest",
        "DeviceReadList",
        "DeviceReadTimeSeries",
        "Devices",
        "DeviceStreams",
        "DeviceWrite",
        "DeviceWriteBuffer",
        "DGaussianWavelet",
        "DiacriticalPositioning",
        "Diagonal",
        "DiagonalizableMatrixQ",
        "DiagonalMatrix",
        "DiagonalMatrixQ",
        "Dialog",
        "DialogIndent",
        "DialogInput",
        "DialogLevel",
        "DialogNotebook",
        "DialogProlog",
        "DialogReturn",
        "DialogSymbols",
        "Diamond",
        "DiamondMatrix",
        "DiceDissimilarity",
        "DictionaryLookup",
        "DictionaryWordQ",
        "DifferenceDelta",
        "DifferenceOrder",
        "DifferenceQuotient",
        "DifferenceRoot",
        "DifferenceRootReduce",
        "Differences",
        "DifferentialD",
        "DifferentialRoot",
        "DifferentialRootReduce",
        "DifferentiatorFilter",
        "DiffusionPDETerm",
        "DiggleGatesPointProcess",
        "DiggleGrattonPointProcess",
        "DigitalSignature",
        "DigitBlock",
        "DigitBlockMinimum",
        "DigitCharacter",
        "DigitCount",
        "DigitQ",
        "DihedralAngle",
        "DihedralGroup",
        "Dilation",
        "DimensionalCombinations",
        "DimensionalMeshComponents",
        "DimensionReduce",
        "DimensionReducerFunction",
        "DimensionReduction",
        "Dimensions",
        "DiracComb",
        "DiracDelta",
        "DirectedEdge",
        "DirectedEdges",
        "DirectedGraph",
        "DirectedGraphQ",
        "DirectedInfinity",
        "Direction",
        "DirectionalLight",
        "Directive",
        "Directory",
        "DirectoryName",
        "DirectoryQ",
        "DirectoryStack",
        "DirichletBeta",
        "DirichletCharacter",
        "DirichletCondition",
        "DirichletConvolve",
        "DirichletDistribution",
        "DirichletEta",
        "DirichletL",
        "DirichletLambda",
        "DirichletTransform",
        "DirichletWindow",
        "DisableConsolePrintPacket",
        "DisableFormatting",
        "DiscreteAsymptotic",
        "DiscreteChirpZTransform",
        "DiscreteConvolve",
        "DiscreteDelta",
        "DiscreteHadamardTransform",
        "DiscreteIndicator",
        "DiscreteInputOutputModel",
        "DiscreteLimit",
        "DiscreteLQEstimatorGains",
        "DiscreteLQRegulatorGains",
        "DiscreteLyapunovSolve",
        "DiscreteMarkovProcess",
        "DiscreteMaxLimit",
        "DiscreteMinLimit",
        "DiscretePlot",
        "DiscretePlot3D",
        "DiscreteRatio",
        "DiscreteRiccatiSolve",
        "DiscreteShift",
        "DiscreteTimeModelQ",
        "DiscreteUniformDistribution",
        "DiscreteVariables",
        "DiscreteWaveletData",
        "DiscreteWaveletPacketTransform",
        "DiscreteWaveletTransform",
        "DiscretizeGraphics",
        "DiscretizeRegion",
        "Discriminant",
        "DisjointQ",
        "Disjunction",
        "Disk",
        "DiskBox",
        "DiskBoxOptions",
        "DiskMatrix",
        "DiskSegment",
        "Dispatch",
        "DispatchQ",
        "DispersionEstimatorFunction",
        "Display",
        "DisplayAllSteps",
        "DisplayEndPacket",
        "DisplayForm",
        "DisplayFunction",
        "DisplayPacket",
        "DisplayRules",
        "DisplayString",
        "DisplayTemporary",
        "DisplayWith",
        "DisplayWithRef",
        "DisplayWithVariable",
        "DistanceFunction",
        "DistanceMatrix",
        "DistanceTransform",
        "Distribute",
        "Distributed",
        "DistributedContexts",
        "DistributeDefinitions",
        "DistributionChart",
        "DistributionDomain",
        "DistributionFitTest",
        "DistributionParameterAssumptions",
        "DistributionParameterQ",
        "Dithering",
        "Div",
        "Divergence",
        "Divide",
        "DivideBy",
        "Dividers",
        "DivideSides",
        "Divisible",
        "Divisors",
        "DivisorSigma",
        "DivisorSum",
        "DMSList",
        "DMSString",
        "Do",
        "DockedCell",
        "DockedCells",
        "DocumentGenerator",
        "DocumentGeneratorInformation",
        "DocumentGeneratorInformationData",
        "DocumentGenerators",
        "DocumentNotebook",
        "DocumentWeightingRules",
        "Dodecahedron",
        "DomainRegistrationInformation",
        "DominantColors",
        "DominatorTreeGraph",
        "DominatorVertexList",
        "DOSTextFormat",
        "Dot",
        "DotDashed",
        "DotEqual",
        "DotLayer",
        "DotPlusLayer",
        "Dotted",
        "DoubleBracketingBar",
        "DoubleContourIntegral",
        "DoubleDownArrow",
        "DoubleLeftArrow",
        "DoubleLeftRightArrow",
        "DoubleLeftTee",
        "DoubleLongLeftArrow",
        "DoubleLongLeftRightArrow",
        "DoubleLongRightArrow",
        "DoubleRightArrow",
        "DoubleRightTee",
        "DoubleUpArrow",
        "DoubleUpDownArrow",
        "DoubleVerticalBar",
        "DoublyInfinite",
        "Down",
        "DownArrow",
        "DownArrowBar",
        "DownArrowUpArrow",
        "DownLeftRightVector",
        "DownLeftTeeVector",
        "DownLeftVector",
        "DownLeftVectorBar",
        "DownRightTeeVector",
        "DownRightVector",
        "DownRightVectorBar",
        "Downsample",
        "DownTee",
        "DownTeeArrow",
        "DownValues",
        "DownValuesFunction",
        "DragAndDrop",
        "DrawBackFaces",
        "DrawEdges",
        "DrawFrontFaces",
        "DrawHighlighted",
        "DrazinInverse",
        "Drop",
        "DropoutLayer",
        "DropShadowing",
        "DSolve",
        "DSolveChangeVariables",
        "DSolveValue",
        "Dt",
        "DualLinearProgramming",
        "DualPlanarGraph",
        "DualPolyhedron",
        "DualSystemsModel",
        "DumpGet",
        "DumpSave",
        "DuplicateFreeQ",
        "Duration",
        "Dynamic",
        "DynamicBox",
        "DynamicBoxOptions",
        "DynamicEvaluationTimeout",
        "DynamicGeoGraphics",
        "DynamicImage",
        "DynamicLocation",
        "DynamicModule",
        "DynamicModuleBox",
        "DynamicModuleBoxOptions",
        "DynamicModuleParent",
        "DynamicModuleValues",
        "DynamicName",
        "DynamicNamespace",
        "DynamicReference",
        "DynamicSetting",
        "DynamicUpdating",
        "DynamicWrapper",
        "DynamicWrapperBox",
        "DynamicWrapperBoxOptions",
        "E",
        "EarthImpactData",
        "EarthquakeData",
        "EccentricityCentrality",
        "Echo",
        "EchoEvaluation",
        "EchoFunction",
        "EchoLabel",
        "EchoTiming",
        "EclipseType",
        "EdgeAdd",
        "EdgeBetweennessCentrality",
        "EdgeCapacity",
        "EdgeCapForm",
        "EdgeChromaticNumber",
        "EdgeColor",
        "EdgeConnectivity",
        "EdgeContract",
        "EdgeCost",
        "EdgeCount",
        "EdgeCoverQ",
        "EdgeCycleMatrix",
        "EdgeDashing",
        "EdgeDelete",
        "EdgeDetect",
        "EdgeForm",
        "EdgeIndex",
        "EdgeJoinForm",
        "EdgeLabeling",
        "EdgeLabels",
        "EdgeLabelStyle",
        "EdgeList",
        "EdgeOpacity",
        "EdgeQ",
        "EdgeRenderingFunction",
        "EdgeRules",
        "EdgeShapeFunction",
        "EdgeStyle",
        "EdgeTaggedGraph",
        "EdgeTaggedGraphQ",
        "EdgeTags",
        "EdgeThickness",
        "EdgeTransitiveGraphQ",
        "EdgeValueRange",
        "EdgeValueSizes",
        "EdgeWeight",
        "EdgeWeightedGraphQ",
        "Editable",
        "EditButtonSettings",
        "EditCellTagsSettings",
        "EditDistance",
        "EffectiveInterest",
        "Eigensystem",
        "Eigenvalues",
        "EigenvectorCentrality",
        "Eigenvectors",
        "Element",
        "ElementData",
        "ElementwiseLayer",
        "ElidedForms",
        "Eliminate",
        "EliminationOrder",
        "Ellipsoid",
        "EllipticE",
        "EllipticExp",
        "EllipticExpPrime",
        "EllipticF",
        "EllipticFilterModel",
        "EllipticK",
        "EllipticLog",
        "EllipticNomeQ",
        "EllipticPi",
        "EllipticReducedHalfPeriods",
        "EllipticTheta",
        "EllipticThetaPrime",
        "EmbedCode",
        "EmbeddedHTML",
        "EmbeddedService",
        "EmbeddedSQLEntityClass",
        "EmbeddedSQLExpression",
        "EmbeddingLayer",
        "EmbeddingObject",
        "EmitSound",
        "EmphasizeSyntaxErrors",
        "EmpiricalDistribution",
        "Empty",
        "EmptyGraphQ",
        "EmptyRegion",
        "EmptySpaceF",
        "EnableConsolePrintPacket",
        "Enabled",
        "Enclose",
        "Encode",
        "Encrypt",
        "EncryptedObject",
        "EncryptFile",
        "End",
        "EndAdd",
        "EndDialogPacket",
        "EndOfBuffer",
        "EndOfFile",
        "EndOfLine",
        "EndOfString",
        "EndPackage",
        "EngineEnvironment",
        "EngineeringForm",
        "Enter",
        "EnterExpressionPacket",
        "EnterTextPacket",
        "Entity",
        "EntityClass",
        "EntityClassList",
        "EntityCopies",
        "EntityFunction",
        "EntityGroup",
        "EntityInstance",
        "EntityList",
        "EntityPrefetch",
        "EntityProperties",
        "EntityProperty",
        "EntityPropertyClass",
        "EntityRegister",
        "EntityStore",
        "EntityStores",
        "EntityTypeName",
        "EntityUnregister",
        "EntityValue",
        "Entropy",
        "EntropyFilter",
        "Environment",
        "Epilog",
        "EpilogFunction",
        "Equal",
        "EqualColumns",
        "EqualRows",
        "EqualTilde",
        "EqualTo",
        "EquatedTo",
        "Equilibrium",
        "EquirippleFilterKernel",
        "Equivalent",
        "Erf",
        "Erfc",
        "Erfi",
        "ErlangB",
        "ErlangC",
        "ErlangDistribution",
        "Erosion",
        "ErrorBox",
        "ErrorBoxOptions",
        "ErrorNorm",
        "ErrorPacket",
        "ErrorsDialogSettings",
        "EscapeRadius",
        "EstimatedBackground",
        "EstimatedDistribution",
        "EstimatedPointNormals",
        "EstimatedPointProcess",
        "EstimatedProcess",
        "EstimatedVariogramModel",
        "EstimatorGains",
        "EstimatorRegulator",
        "EuclideanDistance",
        "EulerAngles",
        "EulerCharacteristic",
        "EulerE",
        "EulerGamma",
        "EulerianGraphQ",
        "EulerMatrix",
        "EulerPhi",
        "Evaluatable",
        "Evaluate",
        "Evaluated",
        "EvaluatePacket",
        "EvaluateScheduledTask",
        "EvaluationBox",
        "EvaluationCell",
        "EvaluationCompletionAction",
        "EvaluationData",
        "EvaluationElements",
        "EvaluationEnvironment",
        "EvaluationMode",
        "EvaluationMonitor",
        "EvaluationNotebook",
        "EvaluationObject",
        "EvaluationOrder",
        "EvaluationPrivileges",
        "EvaluationRateLimit",
        "Evaluator",
        "EvaluatorNames",
        "EvenQ",
        "EventData",
        "EventEvaluator",
        "EventHandler",
        "EventHandlerTag",
        "EventLabels",
        "EventSeries",
        "ExactBlackmanWindow",
        "ExactNumberQ",
        "ExactRootIsolation",
        "ExampleData",
        "Except",
        "ExcludedContexts",
        "ExcludedForms",
        "ExcludedLines",
        "ExcludedPhysicalQuantities",
        "ExcludePods",
        "Exclusions",
        "ExclusionsStyle",
        "Exists",
        "Exit",
        "ExitDialog",
        "ExoplanetData",
        "Exp",
        "Expand",
        "ExpandAll",
        "ExpandDenominator",
        "ExpandFileName",
        "ExpandNumerator",
        "Expectation",
        "ExpectationE",
        "ExpectedValue",
        "ExpGammaDistribution",
        "ExpIntegralE",
        "ExpIntegralEi",
        "ExpirationDate",
        "Exponent",
        "ExponentFunction",
        "ExponentialDistribution",
        "ExponentialFamily",
        "ExponentialGeneratingFunction",
        "ExponentialMovingAverage",
        "ExponentialPowerDistribution",
        "ExponentPosition",
        "ExponentStep",
        "Export",
        "ExportAutoReplacements",
        "ExportByteArray",
        "ExportForm",
        "ExportPacket",
        "ExportString",
        "Expression",
        "ExpressionCell",
        "ExpressionGraph",
        "ExpressionPacket",
        "ExpressionTree",
        "ExpressionUUID",
        "ExpToTrig",
        "ExtendedEntityClass",
        "ExtendedGCD",
        "Extension",
        "ExtentElementFunction",
        "ExtentMarkers",
        "ExtentSize",
        "ExternalBundle",
        "ExternalCall",
        "ExternalDataCharacterEncoding",
        "ExternalEvaluate",
        "ExternalFunction",
        "ExternalFunctionName",
        "ExternalIdentifier",
        "ExternalObject",
        "ExternalOptions",
        "ExternalSessionObject",
        "ExternalSessions",
        "ExternalStorageBase",
        "ExternalStorageDownload",
        "ExternalStorageGet",
        "ExternalStorageObject",
        "ExternalStoragePut",
        "ExternalStorageUpload",
        "ExternalTypeSignature",
        "ExternalValue",
        "Extract",
        "ExtractArchive",
        "ExtractLayer",
        "ExtractPacletArchive",
        "ExtremeValueDistribution",
        "FaceAlign",
        "FaceForm",
        "FaceGrids",
        "FaceGridsStyle",
        "FaceRecognize",
        "FacialFeatures",
        "Factor",
        "FactorComplete",
        "Factorial",
        "Factorial2",
        "FactorialMoment",
        "FactorialMomentGeneratingFunction",
        "FactorialPower",
        "FactorInteger",
        "FactorList",
        "FactorSquareFree",
        "FactorSquareFreeList",
        "FactorTerms",
        "FactorTermsList",
        "Fail",
        "Failure",
        "FailureAction",
        "FailureDistribution",
        "FailureQ",
        "False",
        "FareySequence",
        "FARIMAProcess",
        "FeatureDistance",
        "FeatureExtract",
        "FeatureExtraction",
        "FeatureExtractor",
        "FeatureExtractorFunction",
        "FeatureImpactPlot",
        "FeatureNames",
        "FeatureNearest",
        "FeatureSpacePlot",
        "FeatureSpacePlot3D",
        "FeatureTypes",
        "FeatureValueDependencyPlot",
        "FeatureValueImpactPlot",
        "FEDisableConsolePrintPacket",
        "FeedbackLinearize",
        "FeedbackSector",
        "FeedbackSectorStyle",
        "FeedbackType",
        "FEEnableConsolePrintPacket",
        "FetalGrowthData",
        "Fibonacci",
        "Fibonorial",
        "FieldCompletionFunction",
        "FieldHint",
        "FieldHintStyle",
        "FieldMasked",
        "FieldSize",
        "File",
        "FileBaseName",
        "FileByteCount",
        "FileConvert",
        "FileDate",
        "FileExistsQ",
        "FileExtension",
        "FileFormat",
        "FileFormatProperties",
        "FileFormatQ",
        "FileHandler",
        "FileHash",
        "FileInformation",
        "FileName",
        "FileNameDepth",
        "FileNameDialogSettings",
        "FileNameDrop",
        "FileNameForms",
        "FileNameJoin",
        "FileNames",
        "FileNameSetter",
        "FileNameSplit",
        "FileNameTake",
        "FileNameToFormatList",
        "FilePrint",
        "FileSize",
        "FileSystemMap",
        "FileSystemScan",
        "FileSystemTree",
        "FileTemplate",
        "FileTemplateApply",
        "FileType",
        "FilledCurve",
        "FilledCurveBox",
        "FilledCurveBoxOptions",
        "FilledTorus",
        "FillForm",
        "Filling",
        "FillingStyle",
        "FillingTransform",
        "FilteredEntityClass",
        "FilterRules",
        "FinancialBond",
        "FinancialData",
        "FinancialDerivative",
        "FinancialIndicator",
        "Find",
        "FindAnomalies",
        "FindArgMax",
        "FindArgMin",
        "FindChannels",
        "FindClique",
        "FindClusters",
        "FindCookies",
        "FindCurvePath",
        "FindCycle",
        "FindDevices",
        "FindDistribution",
        "FindDistributionParameters",
        "FindDivisions",
        "FindEdgeColoring",
        "FindEdgeCover",
        "FindEdgeCut",
        "FindEdgeIndependentPaths",
        "FindEquationalProof",
        "FindEulerianCycle",
        "FindExternalEvaluators",
        "FindFaces",
        "FindFile",
        "FindFit",
        "FindFormula",
        "FindFundamentalCycles",
        "FindGeneratingFunction",
        "FindGeoLocation",
        "FindGeometricConjectures",
        "FindGeometricTransform",
        "FindGraphCommunities",
        "FindGraphIsomorphism",
        "FindGraphPartition",
        "FindHamiltonianCycle",
        "FindHamiltonianPath",
        "FindHiddenMarkovStates",
        "FindImageText",
        "FindIndependentEdgeSet",
        "FindIndependentVertexSet",
        "FindInstance",
        "FindIntegerNullVector",
        "FindIsomers",
        "FindIsomorphicSubgraph",
        "FindKClan",
        "FindKClique",
        "FindKClub",
        "FindKPlex",
        "FindLibrary",
        "FindLinearRecurrence",
        "FindList",
        "FindMatchingColor",
        "FindMaximum",
        "FindMaximumCut",
        "FindMaximumFlow",
        "FindMaxValue",
        "FindMeshDefects",
        "FindMinimum",
        "FindMinimumCostFlow",
        "FindMinimumCut",
        "FindMinValue",
        "FindMoleculeSubstructure",
        "FindPath",
        "FindPeaks",
        "FindPermutation",
        "FindPlanarColoring",
        "FindPointProcessParameters",
        "FindPostmanTour",
        "FindProcessParameters",
        "FindRegionTransform",
        "FindRepeat",
        "FindRoot",
        "FindSequenceFunction",
        "FindSettings",
        "FindShortestPath",
        "FindShortestTour",
        "FindSpanningTree",
        "FindSubgraphIsomorphism",
        "FindSystemModelEquilibrium",
        "FindTextualAnswer",
        "FindThreshold",
        "FindTransientRepeat",
        "FindVertexColoring",
        "FindVertexCover",
        "FindVertexCut",
        "FindVertexIndependentPaths",
        "Fine",
        "FinishDynamic",
        "FiniteAbelianGroupCount",
        "FiniteGroupCount",
        "FiniteGroupData",
        "First",
        "FirstCase",
        "FirstPassageTimeDistribution",
        "FirstPosition",
        "FischerGroupFi22",
        "FischerGroupFi23",
        "FischerGroupFi24Prime",
        "FisherHypergeometricDistribution",
        "FisherRatioTest",
        "FisherZDistribution",
        "Fit",
        "FitAll",
        "FitRegularization",
        "FittedModel",
        "FixedOrder",
        "FixedPoint",
        "FixedPointList",
        "FlashSelection",
        "Flat",
        "FlatShading",
        "Flatten",
        "FlattenAt",
        "FlattenLayer",
        "FlatTopWindow",
        "FlightData",
        "FlipView",
        "Floor",
        "FlowPolynomial",
        "Fold",
        "FoldList",
        "FoldPair",
        "FoldPairList",
        "FoldWhile",
        "FoldWhileList",
        "FollowRedirects",
        "Font",
        "FontColor",
        "FontFamily",
        "FontForm",
        "FontName",
        "FontOpacity",
        "FontPostScriptName",
        "FontProperties",
        "FontReencoding",
        "FontSize",
        "FontSlant",
        "FontSubstitutions",
        "FontTracking",
        "FontVariations",
        "FontWeight",
        "For",
        "ForAll",
        "ForAllType",
        "ForceVersionInstall",
        "Format",
        "FormatRules",
        "FormatType",
        "FormatTypeAutoConvert",
        "FormatValues",
        "FormBox",
        "FormBoxOptions",
        "FormControl",
        "FormFunction",
        "FormLayoutFunction",
        "FormObject",
        "FormPage",
        "FormProtectionMethod",
        "FormTheme",
        "FormulaData",
        "FormulaLookup",
        "FortranForm",
        "Forward",
        "ForwardBackward",
        "ForwardCloudCredentials",
        "Fourier",
        "FourierCoefficient",
        "FourierCosCoefficient",
        "FourierCosSeries",
        "FourierCosTransform",
        "FourierDCT",
        "FourierDCTFilter",
        "FourierDCTMatrix",
        "FourierDST",
        "FourierDSTMatrix",
        "FourierMatrix",
        "FourierParameters",
        "FourierSequenceTransform",
        "FourierSeries",
        "FourierSinCoefficient",
        "FourierSinSeries",
        "FourierSinTransform",
        "FourierTransform",
        "FourierTrigSeries",
        "FoxH",
        "FoxHReduce",
        "FractionalBrownianMotionProcess",
        "FractionalD",
        "FractionalGaussianNoiseProcess",
        "FractionalPart",
        "FractionBox",
        "FractionBoxOptions",
        "FractionLine",
        "Frame",
        "FrameBox",
        "FrameBoxOptions",
        "Framed",
        "FrameInset",
        "FrameLabel",
        "Frameless",
        "FrameListVideo",
        "FrameMargins",
        "FrameRate",
        "FrameStyle",
        "FrameTicks",
        "FrameTicksStyle",
        "FRatioDistribution",
        "FrechetDistribution",
        "FreeQ",
        "FrenetSerretSystem",
        "FrequencySamplingFilterKernel",
        "FresnelC",
        "FresnelF",
        "FresnelG",
        "FresnelS",
        "Friday",
        "FrobeniusNumber",
        "FrobeniusSolve",
        "FromAbsoluteTime",
        "FromCharacterCode",
        "FromCoefficientRules",
        "FromContinuedFraction",
        "FromDate",
        "FromDateString",
        "FromDigits",
        "FromDMS",
        "FromEntity",
        "FromJulianDate",
        "FromLetterNumber",
        "FromPolarCoordinates",
        "FromRawPointer",
        "FromRomanNumeral",
        "FromSphericalCoordinates",
        "FromUnixTime",
        "Front",
        "FrontEndDynamicExpression",
        "FrontEndEventActions",
        "FrontEndExecute",
        "FrontEndObject",
        "FrontEndResource",
        "FrontEndResourceString",
        "FrontEndStackSize",
        "FrontEndToken",
        "FrontEndTokenExecute",
        "FrontEndValueCache",
        "FrontEndVersion",
        "FrontFaceColor",
        "FrontFaceGlowColor",
        "FrontFaceOpacity",
        "FrontFaceSpecularColor",
        "FrontFaceSpecularExponent",
        "FrontFaceSurfaceAppearance",
        "FrontFaceTexture",
        "Full",
        "FullAxes",
        "FullDefinition",
        "FullForm",
        "FullGraphics",
        "FullInformationOutputRegulator",
        "FullOptions",
        "FullRegion",
        "FullSimplify",
        "Function",
        "FunctionAnalytic",
        "FunctionBijective",
        "FunctionCompile",
        "FunctionCompileExport",
        "FunctionCompileExportByteArray",
        "FunctionCompileExportLibrary",
        "FunctionCompileExportString",
        "FunctionContinuous",
        "FunctionConvexity",
        "FunctionDeclaration",
        "FunctionDiscontinuities",
        "FunctionDomain",
        "FunctionExpand",
        "FunctionInjective",
        "FunctionInterpolation",
        "FunctionLayer",
        "FunctionMeromorphic",
        "FunctionMonotonicity",
        "FunctionPeriod",
        "FunctionPoles",
        "FunctionRange",
        "FunctionSign",
        "FunctionSingularities",
        "FunctionSpace",
        "FunctionSurjective",
        "FussellVeselyImportance",
        "GaborFilter",
        "GaborMatrix",
        "GaborWavelet",
        "GainMargins",
        "GainPhaseMargins",
        "GalaxyData",
        "GalleryView",
        "Gamma",
        "GammaDistribution",
        "GammaRegularized",
        "GapPenalty",
        "GARCHProcess",
        "GatedRecurrentLayer",
        "Gather",
        "GatherBy",
        "GaugeFaceElementFunction",
        "GaugeFaceStyle",
        "GaugeFrameElementFunction",
        "GaugeFrameSize",
        "GaugeFrameStyle",
        "GaugeLabels",
        "GaugeMarkers",
        "GaugeStyle",
        "GaussianFilter",
        "GaussianIntegers",
        "GaussianMatrix",
        "GaussianOrthogonalMatrixDistribution",
        "GaussianSymplecticMatrixDistribution",
        "GaussianUnitaryMatrixDistribution",
        "GaussianWindow",
        "GCD",
        "GegenbauerC",
        "General",
        "GeneralizedLinearModelFit",
        "GenerateAsymmetricKeyPair",
        "GenerateConditions",
        "GeneratedAssetFormat",
        "GeneratedAssetLocation",
        "GeneratedCell",
        "GeneratedCellStyles",
        "GeneratedDocumentBinding",
        "GenerateDerivedKey",
        "GenerateDigitalSignature",
        "GenerateDocument",
        "GeneratedParameters",
        "GeneratedQuantityMagnitudes",
        "GenerateFileSignature",
        "GenerateHTTPResponse",
        "GenerateSecuredAuthenticationKey",
        "GenerateSymmetricKey",
        "GeneratingFunction",
        "GeneratorDescription",
        "GeneratorHistoryLength",
        "GeneratorOutputType",
        "Generic",
        "GenericCylindricalDecomposition",
        "GenomeData",
        "GenomeLookup",
        "GeoAntipode",
        "GeoArea",
        "GeoArraySize",
        "GeoBackground",
        "GeoBoundary",
        "GeoBoundingBox",
        "GeoBounds",
        "GeoBoundsRegion",
        "GeoBoundsRegionBoundary",
        "GeoBubbleChart",
        "GeoCenter",
        "GeoCircle",
        "GeoContourPlot",
        "GeoDensityPlot",
        "GeodesicClosing",
        "GeodesicDilation",
        "GeodesicErosion",
        "GeodesicOpening",
        "GeodesicPolyhedron",
        "GeoDestination",
        "GeodesyData",
        "GeoDirection",
        "GeoDisk",
        "GeoDisplacement",
        "GeoDistance",
        "GeoDistanceList",
        "GeoElevationData",
        "GeoEntities",
        "GeoGraphics",
        "GeoGraphPlot",
        "GeoGraphValuePlot",
        "GeogravityModelData",
        "GeoGridDirectionDifference",
        "GeoGridLines",
        "GeoGridLinesStyle",
        "GeoGridPosition",
        "GeoGridRange",
        "GeoGridRangePadding",
        "GeoGridUnitArea",
        "GeoGridUnitDistance",
        "GeoGridVector",
        "GeoGroup",
        "GeoHemisphere",
        "GeoHemisphereBoundary",
        "GeoHistogram",
        "GeoIdentify",
        "GeoImage",
        "GeoLabels",
        "GeoLength",
        "GeoListPlot",
        "GeoLocation",
        "GeologicalPeriodData",
        "GeomagneticModelData",
        "GeoMarker",
        "GeometricAssertion",
        "GeometricBrownianMotionProcess",
        "GeometricDistribution",
        "GeometricMean",
        "GeometricMeanFilter",
        "GeometricOptimization",
        "GeometricScene",
        "GeometricStep",
        "GeometricStylingRules",
        "GeometricTest",
        "GeometricTransformation",
        "GeometricTransformation3DBox",
        "GeometricTransformation3DBoxOptions",
        "GeometricTransformationBox",
        "GeometricTransformationBoxOptions",
        "GeoModel",
        "GeoNearest",
        "GeoOrientationData",
        "GeoPath",
        "GeoPolygon",
        "GeoPosition",
        "GeoPositionENU",
        "GeoPositionXYZ",
        "GeoProjection",
        "GeoProjectionData",
        "GeoRange",
        "GeoRangePadding",
        "GeoRegionValuePlot",
        "GeoResolution",
        "GeoScaleBar",
        "GeoServer",
        "GeoSmoothHistogram",
        "GeoStreamPlot",
        "GeoStyling",
        "GeoStylingImageFunction",
        "GeoVariant",
        "GeoVector",
        "GeoVectorENU",
        "GeoVectorPlot",
        "GeoVectorXYZ",
        "GeoVisibleRegion",
        "GeoVisibleRegionBoundary",
        "GeoWithinQ",
        "GeoZoomLevel",
        "GestureHandler",
        "GestureHandlerTag",
        "Get",
        "GetContext",
        "GetEnvironment",
        "GetFileName",
        "GetLinebreakInformationPacket",
        "GibbsPointProcess",
        "Glaisher",
        "GlobalClusteringCoefficient",
        "GlobalPreferences",
        "GlobalSession",
        "Glow",
        "GoldenAngle",
        "GoldenRatio",
        "GompertzMakehamDistribution",
        "GoochShading",
        "GoodmanKruskalGamma",
        "GoodmanKruskalGammaTest",
        "Goto",
        "GouraudShading",
        "Grad",
        "Gradient",
        "GradientFilter",
        "GradientFittedMesh",
        "GradientOrientationFilter",
        "GrammarApply",
        "GrammarRules",
        "GrammarToken",
        "Graph",
        "Graph3D",
        "GraphAssortativity",
        "GraphAutomorphismGroup",
        "GraphCenter",
        "GraphComplement",
        "GraphData",
        "GraphDensity",
        "GraphDiameter",
        "GraphDifference",
        "GraphDisjointUnion",
        "GraphDistance",
        "GraphDistanceMatrix",
        "GraphEmbedding",
        "GraphHighlight",
        "GraphHighlightStyle",
        "GraphHub",
        "Graphics",
        "Graphics3D",
        "Graphics3DBox",
        "Graphics3DBoxOptions",
        "GraphicsArray",
        "GraphicsBaseline",
        "GraphicsBox",
        "GraphicsBoxOptions",
        "GraphicsColor",
        "GraphicsColumn",
        "GraphicsComplex",
        "GraphicsComplex3DBox",
        "GraphicsComplex3DBoxOptions",
        "GraphicsComplexBox",
        "GraphicsComplexBoxOptions",
        "GraphicsContents",
        "GraphicsData",
        "GraphicsGrid",
        "GraphicsGridBox",
        "GraphicsGroup",
        "GraphicsGroup3DBox",
        "GraphicsGroup3DBoxOptions",
        "GraphicsGroupBox",
        "GraphicsGroupBoxOptions",
        "GraphicsGrouping",
        "GraphicsHighlightColor",
        "GraphicsRow",
        "GraphicsSpacing",
        "GraphicsStyle",
        "GraphIntersection",
        "GraphJoin",
        "GraphLayerLabels",
        "GraphLayers",
        "GraphLayerStyle",
        "GraphLayout",
        "GraphLinkEfficiency",
        "GraphPeriphery",
        "GraphPlot",
        "GraphPlot3D",
        "GraphPower",
        "GraphProduct",
        "GraphPropertyDistribution",
        "GraphQ",
        "GraphRadius",
        "GraphReciprocity",
        "GraphRoot",
        "GraphStyle",
        "GraphSum",
        "GraphTree",
        "GraphUnion",
        "Gray",
        "GrayLevel",
        "Greater",
        "GreaterEqual",
        "GreaterEqualLess",
        "GreaterEqualThan",
        "GreaterFullEqual",
        "GreaterGreater",
        "GreaterLess",
        "GreaterSlantEqual",
        "GreaterThan",
        "GreaterTilde",
        "GreekStyle",
        "Green",
        "GreenFunction",
        "Grid",
        "GridBaseline",
        "GridBox",
        "GridBoxAlignment",
        "GridBoxBackground",
        "GridBoxDividers",
        "GridBoxFrame",
        "GridBoxItemSize",
        "GridBoxItemStyle",
        "GridBoxOptions",
        "GridBoxSpacings",
        "GridCreationSettings",
        "GridDefaultElement",
        "GridElementStyleOptions",
        "GridFrame",
        "GridFrameMargins",
        "GridGraph",
        "GridLines",
        "GridLinesStyle",
        "GridVideo",
        "GroebnerBasis",
        "GroupActionBase",
        "GroupBy",
        "GroupCentralizer",
        "GroupElementFromWord",
        "GroupElementPosition",
        "GroupElementQ",
        "GroupElements",
        "GroupElementToWord",
        "GroupGenerators",
        "Groupings",
        "GroupMultiplicationTable",
        "GroupOpenerColor",
        "GroupOpenerInsideFrame",
        "GroupOrbits",
        "GroupOrder",
        "GroupPageBreakWithin",
        "GroupSetwiseStabilizer",
        "GroupStabilizer",
        "GroupStabilizerChain",
        "GroupTogetherGrouping",
        "GroupTogetherNestedGrouping",
        "GrowCutComponents",
        "Gudermannian",
        "GuidedFilter",
        "GumbelDistribution",
        "HaarWavelet",
        "HadamardMatrix",
        "HalfLine",
        "HalfNormalDistribution",
        "HalfPlane",
        "HalfSpace",
        "HalftoneShading",
        "HamiltonianGraphQ",
        "HammingDistance",
        "HammingWindow",
        "HandlerFunctions",
        "HandlerFunctionsKeys",
        "HankelH1",
        "HankelH2",
        "HankelMatrix",
        "HankelTransform",
        "HannPoissonWindow",
        "HannWindow",
        "HaradaNortonGroupHN",
        "HararyGraph",
        "HardcorePointProcess",
        "HarmonicMean",
        "HarmonicMeanFilter",
        "HarmonicNumber",
        "Hash",
        "HatchFilling",
        "HatchShading",
        "Haversine",
        "HazardFunction",
        "Head",
        "HeadCompose",
        "HeaderAlignment",
        "HeaderBackground",
        "HeaderDisplayFunction",
        "HeaderLines",
        "Headers",
        "HeaderSize",
        "HeaderStyle",
        "Heads",
        "HeatFluxValue",
        "HeatInsulationValue",
        "HeatOutflowValue",
        "HeatRadiationValue",
        "HeatSymmetryValue",
        "HeatTemperatureCondition",
        "HeatTransferPDEComponent",
        "HeatTransferValue",
        "HeavisideLambda",
        "HeavisidePi",
        "HeavisideTheta",
        "HeldGroupHe",
        "HeldPart",
        "HelmholtzPDEComponent",
        "HelpBrowserLookup",
        "HelpBrowserNotebook",
        "HelpBrowserSettings",
        "HelpViewerSettings",
        "Here",
        "HermiteDecomposition",
        "HermiteH",
        "Hermitian",
        "HermitianMatrixQ",
        "HessenbergDecomposition",
        "Hessian",
        "HeunB",
        "HeunBPrime",
        "HeunC",
        "HeunCPrime",
        "HeunD",
        "HeunDPrime",
        "HeunG",
        "HeunGPrime",
        "HeunT",
        "HeunTPrime",
        "HexadecimalCharacter",
        "Hexahedron",
        "HexahedronBox",
        "HexahedronBoxOptions",
        "HiddenItems",
        "HiddenMarkovProcess",
        "HiddenSurface",
        "Highlighted",
        "HighlightGraph",
        "HighlightImage",
        "HighlightMesh",
        "HighlightString",
        "HighpassFilter",
        "HigmanSimsGroupHS",
        "HilbertCurve",
        "HilbertFilter",
        "HilbertMatrix",
        "Histogram",
        "Histogram3D",
        "HistogramDistribution",
        "HistogramList",
        "HistogramPointDensity",
        "HistogramTransform",
        "HistogramTransformInterpolation",
        "HistoricalPeriodData",
        "HitMissTransform",
        "HITSCentrality",
        "HjorthDistribution",
        "HodgeDual",
        "HoeffdingD",
        "HoeffdingDTest",
        "Hold",
        "HoldAll",
        "HoldAllComplete",
        "HoldComplete",
        "HoldFirst",
        "HoldForm",
        "HoldPattern",
        "HoldRest",
        "HolidayCalendar",
        "HomeDirectory",
        "HomePage",
        "Horizontal",
        "HorizontalForm",
        "HorizontalGauge",
        "HorizontalScrollPosition",
        "HornerForm",
        "HostLookup",
        "HotellingTSquareDistribution",
        "HoytDistribution",
        "HTMLSave",
        "HTTPErrorResponse",
        "HTTPRedirect",
        "HTTPRequest",
        "HTTPRequestData",
        "HTTPResponse",
        "Hue",
        "HumanGrowthData",
        "HumpDownHump",
        "HumpEqual",
        "HurwitzLerchPhi",
        "HurwitzZeta",
        "HyperbolicDistribution",
        "HypercubeGraph",
        "HyperexponentialDistribution",
        "Hyperfactorial",
        "Hypergeometric0F1",
        "Hypergeometric0F1Regularized",
        "Hypergeometric1F1",
        "Hypergeometric1F1Regularized",
        "Hypergeometric2F1",
        "Hypergeometric2F1Regularized",
        "HypergeometricDistribution",
        "HypergeometricPFQ",
        "HypergeometricPFQRegularized",
        "HypergeometricU",
        "Hyperlink",
        "HyperlinkAction",
        "HyperlinkCreationSettings",
        "Hyperplane",
        "Hyphenation",
        "HyphenationOptions",
        "HypoexponentialDistribution",
        "HypothesisTestData",
        "I",
        "IconData",
        "Iconize",
        "IconizedObject",
        "IconRules",
        "Icosahedron",
        "Identity",
        "IdentityMatrix",
        "If",
        "IfCompiled",
        "IgnoreCase",
        "IgnoreDiacritics",
        "IgnoreIsotopes",
        "IgnorePunctuation",
        "IgnoreSpellCheck",
        "IgnoreStereochemistry",
        "IgnoringInactive",
        "Im",
        "Image",
        "Image3D",
        "Image3DProjection",
        "Image3DSlices",
        "ImageAccumulate",
        "ImageAdd",
        "ImageAdjust",
        "ImageAlign",
        "ImageApply",
        "ImageApplyIndexed",
        "ImageAspectRatio",
        "ImageAssemble",
        "ImageAugmentationLayer",
        "ImageBoundingBoxes",
        "ImageCache",
        "ImageCacheValid",
        "ImageCapture",
        "ImageCaptureFunction",
        "ImageCases",
        "ImageChannels",
        "ImageClip",
        "ImageCollage",
        "ImageColorSpace",
        "ImageCompose",
        "ImageContainsQ",
        "ImageContents",
        "ImageConvolve",
        "ImageCooccurrence",
        "ImageCorners",
        "ImageCorrelate",
        "ImageCorrespondingPoints",
        "ImageCrop",
        "ImageData",
        "ImageDeconvolve",
        "ImageDemosaic",
        "ImageDifference",
        "ImageDimensions",
        "ImageDisplacements",
        "ImageDistance",
        "ImageEditMode",
        "ImageEffect",
        "ImageExposureCombine",
        "ImageFeatureTrack",
        "ImageFileApply",
        "ImageFileFilter",
        "ImageFileScan",
        "ImageFilter",
        "ImageFocusCombine",
        "ImageForestingComponents",
        "ImageFormattingWidth",
        "ImageForwardTransformation",
        "ImageGraphics",
        "ImageHistogram",
        "ImageIdentify",
        "ImageInstanceQ",
        "ImageKeypoints",
        "ImageLabels",
        "ImageLegends",
        "ImageLevels",
        "ImageLines",
        "ImageMargins",
        "ImageMarker",
        "ImageMarkers",
        "ImageMeasurements",
        "ImageMesh",
        "ImageMultiply",
        "ImageOffset",
        "ImagePad",
        "ImagePadding",
        "ImagePartition",
        "ImagePeriodogram",
        "ImagePerspectiveTransformation",
        "ImagePosition",
        "ImagePreviewFunction",
        "ImagePyramid",
        "ImagePyramidApply",
        "ImageQ",
        "ImageRangeCache",
        "ImageRecolor",
        "ImageReflect",
        "ImageRegion",
        "ImageResize",
        "ImageResolution",
        "ImageRestyle",
        "ImageRotate",
        "ImageRotated",
        "ImageSaliencyFilter",
        "ImageScaled",
        "ImageScan",
        "ImageSize",
        "ImageSizeAction",
        "ImageSizeCache",
        "ImageSizeMultipliers",
        "ImageSizeRaw",
        "ImageStitch",
        "ImageSubtract",
        "ImageTake",
        "ImageTransformation",
        "ImageTrim",
        "ImageType",
        "ImageValue",
        "ImageValuePositions",
        "ImageVectorscopePlot",
        "ImageWaveformPlot",
        "ImagingDevice",
        "ImplicitD",
        "ImplicitRegion",
        "Implies",
        "Import",
        "ImportAutoReplacements",
        "ImportByteArray",
        "ImportedObject",
        "ImportOptions",
        "ImportString",
        "ImprovementImportance",
        "In",
        "Inactivate",
        "Inactive",
        "InactiveStyle",
        "IncidenceGraph",
        "IncidenceList",
        "IncidenceMatrix",
        "IncludeAromaticBonds",
        "IncludeConstantBasis",
        "IncludedContexts",
        "IncludeDefinitions",
        "IncludeDirectories",
        "IncludeFileExtension",
        "IncludeGeneratorTasks",
        "IncludeHydrogens",
        "IncludeInflections",
        "IncludeMetaInformation",
        "IncludePods",
        "IncludeQuantities",
        "IncludeRelatedTables",
        "IncludeSingularSolutions",
        "IncludeSingularTerm",
        "IncludeWindowTimes",
        "Increment",
        "IndefiniteMatrixQ",
        "Indent",
        "IndentingNewlineSpacings",
        "IndentMaxFraction",
        "IndependenceTest",
        "IndependentEdgeSetQ",
        "IndependentPhysicalQuantity",
        "IndependentUnit",
        "IndependentUnitDimension",
        "IndependentVertexSetQ",
        "Indeterminate",
        "IndeterminateThreshold",
        "IndexCreationOptions",
        "Indexed",
        "IndexEdgeTaggedGraph",
        "IndexGraph",
        "IndexTag",
        "Inequality",
        "InertEvaluate",
        "InertExpression",
        "InexactNumberQ",
        "InexactNumbers",
        "InfiniteFuture",
        "InfiniteLine",
        "InfiniteLineThrough",
        "InfinitePast",
        "InfinitePlane",
        "Infinity",
        "Infix",
        "InflationAdjust",
        "InflationMethod",
        "Information",
        "InformationData",
        "InformationDataGrid",
        "Inherited",
        "InheritScope",
        "InhomogeneousPoissonPointProcess",
        "InhomogeneousPoissonProcess",
        "InitialEvaluationHistory",
        "Initialization",
        "InitializationCell",
        "InitializationCellEvaluation",
        "InitializationCellWarning",
        "InitializationObject",
        "InitializationObjects",
        "InitializationValue",
        "Initialize",
        "InitialSeeding",
        "InlineCounterAssignments",
        "InlineCounterIncrements",
        "InlineRules",
        "Inner",
        "InnerPolygon",
        "InnerPolyhedron",
        "Inpaint",
        "Input",
        "InputAliases",
        "InputAssumptions",
        "InputAutoReplacements",
        "InputField",
        "InputFieldBox",
        "InputFieldBoxOptions",
        "InputForm",
        "InputGrouping",
        "InputNamePacket",
        "InputNotebook",
        "InputPacket",
        "InputPorts",
        "InputSettings",
        "InputStream",
        "InputString",
        "InputStringPacket",
        "InputToBoxFormPacket",
        "Insert",
        "InsertionFunction",
        "InsertionPointObject",
        "InsertLinebreaks",
        "InsertResults",
        "Inset",
        "Inset3DBox",
        "Inset3DBoxOptions",
        "InsetBox",
        "InsetBoxOptions",
        "Insphere",
        "Install",
        "InstallService",
        "InstanceNormalizationLayer",
        "InString",
        "Integer",
        "IntegerDigits",
        "IntegerExponent",
        "IntegerLength",
        "IntegerName",
        "IntegerPart",
        "IntegerPartitions",
        "IntegerQ",
        "IntegerReverse",
        "Integers",
        "IntegerString",
        "Integral",
        "Integrate",
        "IntegrateChangeVariables",
        "Interactive",
        "InteractiveTradingChart",
        "InterfaceSwitched",
        "Interlaced",
        "Interleaving",
        "InternallyBalancedDecomposition",
        "InterpolatingFunction",
        "InterpolatingPolynomial",
        "Interpolation",
        "InterpolationOrder",
        "InterpolationPoints",
        "InterpolationPrecision",
        "Interpretation",
        "InterpretationBox",
        "InterpretationBoxOptions",
        "InterpretationFunction",
        "Interpreter",
        "InterpretTemplate",
        "InterquartileRange",
        "Interrupt",
        "InterruptSettings",
        "IntersectedEntityClass",
        "IntersectingQ",
        "Intersection",
        "Interval",
        "IntervalIntersection",
        "IntervalMarkers",
        "IntervalMarkersStyle",
        "IntervalMemberQ",
        "IntervalSlider",
        "IntervalUnion",
        "Into",
        "Inverse",
        "InverseBetaRegularized",
        "InverseBilateralLaplaceTransform",
        "InverseBilateralZTransform",
        "InverseCDF",
        "InverseChiSquareDistribution",
        "InverseContinuousWaveletTransform",
        "InverseDistanceTransform",
        "InverseEllipticNomeQ",
        "InverseErf",
        "InverseErfc",
        "InverseFourier",
        "InverseFourierCosTransform",
        "InverseFourierSequenceTransform",
        "InverseFourierSinTransform",
        "InverseFourierTransform",
        "InverseFunction",
        "InverseFunctions",
        "InverseGammaDistribution",
        "InverseGammaRegularized",
        "InverseGaussianDistribution",
        "InverseGudermannian",
        "InverseHankelTransform",
        "InverseHaversine",
        "InverseImagePyramid",
        "InverseJacobiCD",
        "InverseJacobiCN",
        "InverseJacobiCS",
        "InverseJacobiDC",
        "InverseJacobiDN",
        "InverseJacobiDS",
        "InverseJacobiNC",
        "InverseJacobiND",
        "InverseJacobiNS",
        "InverseJacobiSC",
        "InverseJacobiSD",
        "InverseJacobiSN",
        "InverseLaplaceTransform",
        "InverseMellinTransform",
        "InversePermutation",
        "InverseRadon",
        "InverseRadonTransform",
        "InverseSeries",
        "InverseShortTimeFourier",
        "InverseSpectrogram",
        "InverseSurvivalFunction",
        "InverseTransformedRegion",
        "InverseWaveletTransform",
        "InverseWeierstrassP",
        "InverseWishartMatrixDistribution",
        "InverseZTransform",
        "Invisible",
        "InvisibleApplication",
        "InvisibleTimes",
        "IPAddress",
        "IrreduciblePolynomialQ",
        "IslandData",
        "IsolatingInterval",
        "IsomorphicGraphQ",
        "IsomorphicSubgraphQ",
        "IsotopeData",
        "Italic",
        "Item",
        "ItemAspectRatio",
        "ItemBox",
        "ItemBoxOptions",
        "ItemDisplayFunction",
        "ItemSize",
        "ItemStyle",
        "ItoProcess",
        "JaccardDissimilarity",
        "JacobiAmplitude",
        "Jacobian",
        "JacobiCD",
        "JacobiCN",
        "JacobiCS",
        "JacobiDC",
        "JacobiDN",
        "JacobiDS",
        "JacobiEpsilon",
        "JacobiNC",
        "JacobiND",
        "JacobiNS",
        "JacobiP",
        "JacobiSC",
        "JacobiSD",
        "JacobiSN",
        "JacobiSymbol",
        "JacobiZeta",
        "JacobiZN",
        "JankoGroupJ1",
        "JankoGroupJ2",
        "JankoGroupJ3",
        "JankoGroupJ4",
        "JarqueBeraALMTest",
        "JohnsonDistribution",
        "Join",
        "JoinAcross",
        "Joined",
        "JoinedCurve",
        "JoinedCurveBox",
        "JoinedCurveBoxOptions",
        "JoinForm",
        "JordanDecomposition",
        "JordanModelDecomposition",
        "JulianDate",
        "JuliaSetBoettcher",
        "JuliaSetIterationCount",
        "JuliaSetPlot",
        "JuliaSetPoints",
        "K",
        "KagiChart",
        "KaiserBesselWindow",
        "KaiserWindow",
        "KalmanEstimator",
        "KalmanFilter",
        "KarhunenLoeveDecomposition",
        "KaryTree",
        "KatzCentrality",
        "KCoreComponents",
        "KDistribution",
        "KEdgeConnectedComponents",
        "KEdgeConnectedGraphQ",
        "KeepExistingVersion",
        "KelvinBei",
        "KelvinBer",
        "KelvinKei",
        "KelvinKer",
        "KendallTau",
        "KendallTauTest",
        "KernelConfiguration",
        "KernelExecute",
        "KernelFunction",
        "KernelMixtureDistribution",
        "KernelObject",
        "Kernels",
        "Ket",
        "Key",
        "KeyCollisionFunction",
        "KeyComplement",
        "KeyDrop",
        "KeyDropFrom",
        "KeyExistsQ",
        "KeyFreeQ",
        "KeyIntersection",
        "KeyMap",
        "KeyMemberQ",
        "KeypointStrength",
        "Keys",
        "KeySelect",
        "KeySort",
        "KeySortBy",
        "KeyTake",
        "KeyUnion",
        "KeyValueMap",
        "KeyValuePattern",
        "Khinchin",
        "KillProcess",
        "KirchhoffGraph",
        "KirchhoffMatrix",
        "KleinInvariantJ",
        "KnapsackSolve",
        "KnightTourGraph",
        "KnotData",
        "KnownUnitQ",
        "KochCurve",
        "KolmogorovSmirnovTest",
        "KroneckerDelta",
        "KroneckerModelDecomposition",
        "KroneckerProduct",
        "KroneckerSymbol",
        "KuiperTest",
        "KumaraswamyDistribution",
        "Kurtosis",
        "KuwaharaFilter",
        "KVertexConnectedComponents",
        "KVertexConnectedGraphQ",
        "LABColor",
        "Label",
        "Labeled",
        "LabeledSlider",
        "LabelingFunction",
        "LabelingSize",
        "LabelStyle",
        "LabelVisibility",
        "LaguerreL",
        "LakeData",
        "LambdaComponents",
        "LambertW",
        "LameC",
        "LameCPrime",
        "LameEigenvalueA",
        "LameEigenvalueB",
        "LameS",
        "LameSPrime",
        "LaminaData",
        "LanczosWindow",
        "LandauDistribution",
        "Language",
        "LanguageCategory",
        "LanguageData",
        "LanguageIdentify",
        "LanguageOptions",
        "LaplaceDistribution",
        "LaplaceTransform",
        "Laplacian",
        "LaplacianFilter",
        "LaplacianGaussianFilter",
        "LaplacianPDETerm",
        "Large",
        "Larger",
        "Last",
        "Latitude",
        "LatitudeLongitude",
        "LatticeData",
        "LatticeReduce",
        "Launch",
        "LaunchKernels",
        "LayeredGraphPlot",
        "LayeredGraphPlot3D",
        "LayerSizeFunction",
        "LayoutInformation",
        "LCHColor",
        "LCM",
        "LeaderSize",
        "LeafCount",
        "LeapVariant",
        "LeapYearQ",
        "LearnDistribution",
        "LearnedDistribution",
        "LearningRate",
        "LearningRateMultipliers",
        "LeastSquares",
        "LeastSquaresFilterKernel",
        "Left",
        "LeftArrow",
        "LeftArrowBar",
        "LeftArrowRightArrow",
        "LeftDownTeeVector",
        "LeftDownVector",
        "LeftDownVectorBar",
        "LeftRightArrow",
        "LeftRightVector",
        "LeftTee",
        "LeftTeeArrow",
        "LeftTeeVector",
        "LeftTriangle",
        "LeftTriangleBar",
        "LeftTriangleEqual",
        "LeftUpDownVector",
        "LeftUpTeeVector",
        "LeftUpVector",
        "LeftUpVectorBar",
        "LeftVector",
        "LeftVectorBar",
        "LegendAppearance",
        "Legended",
        "LegendFunction",
        "LegendLabel",
        "LegendLayout",
        "LegendMargins",
        "LegendMarkers",
        "LegendMarkerSize",
        "LegendreP",
        "LegendreQ",
        "LegendreType",
        "Length",
        "LengthWhile",
        "LerchPhi",
        "Less",
        "LessEqual",
        "LessEqualGreater",
        "LessEqualThan",
        "LessFullEqual",
        "LessGreater",
        "LessLess",
        "LessSlantEqual",
        "LessThan",
        "LessTilde",
        "LetterCharacter",
        "LetterCounts",
        "LetterNumber",
        "LetterQ",
        "Level",
        "LeveneTest",
        "LeviCivitaTensor",
        "LevyDistribution",
        "Lexicographic",
        "LexicographicOrder",
        "LexicographicSort",
        "LibraryDataType",
        "LibraryFunction",
        "LibraryFunctionDeclaration",
        "LibraryFunctionError",
        "LibraryFunctionInformation",
        "LibraryFunctionLoad",
        "LibraryFunctionUnload",
        "LibraryLoad",
        "LibraryUnload",
        "LicenseEntitlementObject",
        "LicenseEntitlements",
        "LicenseID",
        "LicensingSettings",
        "LiftingFilterData",
        "LiftingWaveletTransform",
        "LightBlue",
        "LightBrown",
        "LightCyan",
        "Lighter",
        "LightGray",
        "LightGreen",
        "Lighting",
        "LightingAngle",
        "LightMagenta",
        "LightOrange",
        "LightPink",
        "LightPurple",
        "LightRed",
        "LightSources",
        "LightYellow",
        "Likelihood",
        "Limit",
        "LimitsPositioning",
        "LimitsPositioningTokens",
        "LindleyDistribution",
        "Line",
        "Line3DBox",
        "Line3DBoxOptions",
        "LinearFilter",
        "LinearFractionalOptimization",
        "LinearFractionalTransform",
        "LinearGradientFilling",
        "LinearGradientImage",
        "LinearizingTransformationData",
        "LinearLayer",
        "LinearModelFit",
        "LinearOffsetFunction",
        "LinearOptimization",
        "LinearProgramming",
        "LinearRecurrence",
        "LinearSolve",
        "LinearSolveFunction",
        "LineBox",
        "LineBoxOptions",
        "LineBreak",
        "LinebreakAdjustments",
        "LineBreakChart",
        "LinebreakSemicolonWeighting",
        "LineBreakWithin",
        "LineColor",
        "LineGraph",
        "LineIndent",
        "LineIndentMaxFraction",
        "LineIntegralConvolutionPlot",
        "LineIntegralConvolutionScale",
        "LineLegend",
        "LineOpacity",
        "LineSpacing",
        "LineWrapParts",
        "LinkActivate",
        "LinkClose",
        "LinkConnect",
        "LinkConnectedQ",
        "LinkCreate",
        "LinkError",
        "LinkFlush",
        "LinkFunction",
        "LinkHost",
        "LinkInterrupt",
        "LinkLaunch",
        "LinkMode",
        "LinkObject",
        "LinkOpen",
        "LinkOptions",
        "LinkPatterns",
        "LinkProtocol",
        "LinkRankCentrality",
        "LinkRead",
        "LinkReadHeld",
        "LinkReadyQ",
        "Links",
        "LinkService",
        "LinkWrite",
        "LinkWriteHeld",
        "LiouvilleLambda",
        "List",
        "Listable",
        "ListAnimate",
        "ListContourPlot",
        "ListContourPlot3D",
        "ListConvolve",
        "ListCorrelate",
        "ListCurvePathPlot",
        "ListDeconvolve",
        "ListDensityPlot",
        "ListDensityPlot3D",
        "Listen",
        "ListFormat",
        "ListFourierSequenceTransform",
        "ListInterpolation",
        "ListLineIntegralConvolutionPlot",
        "ListLinePlot",
        "ListLinePlot3D",
        "ListLogLinearPlot",
        "ListLogLogPlot",
        "ListLogPlot",
        "ListPicker",
        "ListPickerBox",
        "ListPickerBoxBackground",
        "ListPickerBoxOptions",
        "ListPlay",
        "ListPlot",
        "ListPlot3D",
        "ListPointPlot3D",
        "ListPolarPlot",
        "ListQ",
        "ListSliceContourPlot3D",
        "ListSliceDensityPlot3D",
        "ListSliceVectorPlot3D",
        "ListStepPlot",
        "ListStreamDensityPlot",
        "ListStreamPlot",
        "ListStreamPlot3D",
        "ListSurfacePlot3D",
        "ListVectorDensityPlot",
        "ListVectorDisplacementPlot",
        "ListVectorDisplacementPlot3D",
        "ListVectorPlot",
        "ListVectorPlot3D",
        "ListZTransform",
        "Literal",
        "LiteralSearch",
        "LiteralType",
        "LoadCompiledComponent",
        "LocalAdaptiveBinarize",
        "LocalCache",
        "LocalClusteringCoefficient",
        "LocalEvaluate",
        "LocalizeDefinitions",
        "LocalizeVariables",
        "LocalObject",
        "LocalObjects",
        "LocalResponseNormalizationLayer",
        "LocalSubmit",
        "LocalSymbol",
        "LocalTime",
        "LocalTimeZone",
        "LocationEquivalenceTest",
        "LocationTest",
        "Locator",
        "LocatorAutoCreate",
        "LocatorBox",
        "LocatorBoxOptions",
        "LocatorCentering",
        "LocatorPane",
        "LocatorPaneBox",
        "LocatorPaneBoxOptions",
        "LocatorRegion",
        "Locked",
        "Log",
        "Log10",
        "Log2",
        "LogBarnesG",
        "LogGamma",
        "LogGammaDistribution",
        "LogicalExpand",
        "LogIntegral",
        "LogisticDistribution",
        "LogisticSigmoid",
        "LogitModelFit",
        "LogLikelihood",
        "LogLinearPlot",
        "LogLogisticDistribution",
        "LogLogPlot",
        "LogMultinormalDistribution",
        "LogNormalDistribution",
        "LogPlot",
        "LogRankTest",
        "LogSeriesDistribution",
        "LongEqual",
        "Longest",
        "LongestCommonSequence",
        "LongestCommonSequencePositions",
        "LongestCommonSubsequence",
        "LongestCommonSubsequencePositions",
        "LongestMatch",
        "LongestOrderedSequence",
        "LongForm",
        "Longitude",
        "LongLeftArrow",
        "LongLeftRightArrow",
        "LongRightArrow",
        "LongShortTermMemoryLayer",
        "Lookup",
        "Loopback",
        "LoopFreeGraphQ",
        "Looping",
        "LossFunction",
        "LowerCaseQ",
        "LowerLeftArrow",
        "LowerRightArrow",
        "LowerTriangularize",
        "LowerTriangularMatrix",
        "LowerTriangularMatrixQ",
        "LowpassFilter",
        "LQEstimatorGains",
        "LQGRegulator",
        "LQOutputRegulatorGains",
        "LQRegulatorGains",
        "LUBackSubstitution",
        "LucasL",
        "LuccioSamiComponents",
        "LUDecomposition",
        "LunarEclipse",
        "LUVColor",
        "LyapunovSolve",
        "LyonsGroupLy",
        "MachineID",
        "MachineName",
        "MachineNumberQ",
        "MachinePrecision",
        "MacintoshSystemPageSetup",
        "Magenta",
        "Magnification",
        "Magnify",
        "MailAddressValidation",
        "MailExecute",
        "MailFolder",
        "MailItem",
        "MailReceiverFunction",
        "MailResponseFunction",
        "MailSearch",
        "MailServerConnect",
        "MailServerConnection",
        "MailSettings",
        "MainSolve",
        "MaintainDynamicCaches",
        "Majority",
        "MakeBoxes",
        "MakeExpression",
        "MakeRules",
        "ManagedLibraryExpressionID",
        "ManagedLibraryExpressionQ",
        "MandelbrotSetBoettcher",
        "MandelbrotSetDistance",
        "MandelbrotSetIterationCount",
        "MandelbrotSetMemberQ",
        "MandelbrotSetPlot",
        "MangoldtLambda",
        "ManhattanDistance",
        "Manipulate",
        "Manipulator",
        "MannedSpaceMissionData",
        "MannWhitneyTest",
        "MantissaExponent",
        "Manual",
        "Map",
        "MapAll",
        "MapApply",
        "MapAt",
        "MapIndexed",
        "MAProcess",
        "MapThread",
        "MarchenkoPasturDistribution",
        "MarcumQ",
        "MardiaCombinedTest",
        "MardiaKurtosisTest",
        "MardiaSkewnessTest",
        "MarginalDistribution",
        "MarkovProcessProperties",
        "Masking",
        "MassConcentrationCondition",
        "MassFluxValue",
        "MassImpermeableBoundaryValue",
        "MassOutflowValue",
        "MassSymmetryValue",
        "MassTransferValue",
        "MassTransportPDEComponent",
        "MatchingDissimilarity",
        "MatchLocalNameQ",
        "MatchLocalNames",
        "MatchQ",
        "Material",
        "MaterialShading",
        "MaternPointProcess",
        "MathematicalFunctionData",
        "MathematicaNotation",
        "MathieuC",
        "MathieuCharacteristicA",
        "MathieuCharacteristicB",
        "MathieuCharacteristicExponent",
        "MathieuCPrime",
        "MathieuGroupM11",
        "MathieuGroupM12",
        "MathieuGroupM22",
        "MathieuGroupM23",
        "MathieuGroupM24",
        "MathieuS",
        "MathieuSPrime",
        "MathMLForm",
        "MathMLText",
        "Matrices",
        "MatrixExp",
        "MatrixForm",
        "MatrixFunction",
        "MatrixLog",
        "MatrixNormalDistribution",
        "MatrixPlot",
        "MatrixPower",
        "MatrixPropertyDistribution",
        "MatrixQ",
        "MatrixRank",
        "MatrixTDistribution",
        "Max",
        "MaxBend",
        "MaxCellMeasure",
        "MaxColorDistance",
        "MaxDate",
        "MaxDetect",
        "MaxDisplayedChildren",
        "MaxDuration",
        "MaxExtraBandwidths",
        "MaxExtraConditions",
        "MaxFeatureDisplacement",
        "MaxFeatures",
        "MaxFilter",
        "MaximalBy",
        "Maximize",
        "MaxItems",
        "MaxIterations",
        "MaxLimit",
        "MaxMemoryUsed",
        "MaxMixtureKernels",
        "MaxOverlapFraction",
        "MaxPlotPoints",
        "MaxPoints",
        "MaxRecursion",
        "MaxStableDistribution",
        "MaxStepFraction",
        "MaxSteps",
        "MaxStepSize",
        "MaxTrainingRounds",
        "MaxValue",
        "MaxwellDistribution",
        "MaxWordGap",
        "McLaughlinGroupMcL",
        "Mean",
        "MeanAbsoluteLossLayer",
        "MeanAround",
        "MeanClusteringCoefficient",
        "MeanDegreeConnectivity",
        "MeanDeviation",
        "MeanFilter",
        "MeanGraphDistance",
        "MeanNeighborDegree",
        "MeanPointDensity",
        "MeanShift",
        "MeanShiftFilter",
        "MeanSquaredLossLayer",
        "Median",
        "MedianDeviation",
        "MedianFilter",
        "MedicalTestData",
        "Medium",
        "MeijerG",
        "MeijerGReduce",
        "MeixnerDistribution",
        "MellinConvolve",
        "MellinTransform",
        "MemberQ",
        "MemoryAvailable",
        "MemoryConstrained",
        "MemoryConstraint",
        "MemoryInUse",
        "MengerMesh",
        "Menu",
        "MenuAppearance",
        "MenuCommandKey",
        "MenuEvaluator",
        "MenuItem",
        "MenuList",
        "MenuPacket",
        "MenuSortingValue",
        "MenuStyle",
        "MenuView",
        "Merge",
        "MergeDifferences",
        "MergingFunction",
        "MersennePrimeExponent",
        "MersennePrimeExponentQ",
        "Mesh",
        "MeshCellCentroid",
        "MeshCellCount",
        "MeshCellHighlight",
        "MeshCellIndex",
        "MeshCellLabel",
        "MeshCellMarker",
        "MeshCellMeasure",
        "MeshCellQuality",
        "MeshCells",
        "MeshCellShapeFunction",
        "MeshCellStyle",
        "MeshConnectivityGraph",
        "MeshCoordinates",
        "MeshFunctions",
        "MeshPrimitives",
        "MeshQualityGoal",
        "MeshRange",
        "MeshRefinementFunction",
        "MeshRegion",
        "MeshRegionQ",
        "MeshShading",
        "MeshStyle",
        "Message",
        "MessageDialog",
        "MessageList",
        "MessageName",
        "MessageObject",
        "MessageOptions",
        "MessagePacket",
        "Messages",
        "MessagesNotebook",
        "MetaCharacters",
        "MetaInformation",
        "MeteorShowerData",
        "Method",
        "MethodOptions",
        "MexicanHatWavelet",
        "MeyerWavelet",
        "Midpoint",
        "MIMETypeToFormatList",
        "Min",
        "MinColorDistance",
        "MinDate",
        "MinDetect",
        "MineralData",
        "MinFilter",
        "MinimalBy",
        "MinimalPolynomial",
        "MinimalStateSpaceModel",
        "Minimize",
        "MinimumTimeIncrement",
        "MinIntervalSize",
        "MinkowskiQuestionMark",
        "MinLimit",
        "MinMax",
        "MinorPlanetData",
        "Minors",
        "MinPointSeparation",
        "MinRecursion",
        "MinSize",
        "MinStableDistribution",
        "Minus",
        "MinusPlus",
        "MinValue",
        "Missing",
        "MissingBehavior",
        "MissingDataMethod",
        "MissingDataRules",
        "MissingQ",
        "MissingString",
        "MissingStyle",
        "MissingValuePattern",
        "MissingValueSynthesis",
        "MittagLefflerE",
        "MixedFractionParts",
        "MixedGraphQ",
        "MixedMagnitude",
        "MixedRadix",
        "MixedRadixQuantity",
        "MixedUnit",
        "MixtureDistribution",
        "Mod",
        "Modal",
        "Mode",
        "ModelPredictiveController",
        "Modular",
        "ModularInverse",
        "ModularLambda",
        "Module",
        "Modulus",
        "MoebiusMu",
        "Molecule",
        "MoleculeAlign",
        "MoleculeContainsQ",
        "MoleculeDraw",
        "MoleculeEquivalentQ",
        "MoleculeFreeQ",
        "MoleculeGraph",
        "MoleculeMatchQ",
        "MoleculeMaximumCommonSubstructure",
        "MoleculeModify",
        "MoleculeName",
        "MoleculePattern",
        "MoleculePlot",
        "MoleculePlot3D",
        "MoleculeProperty",
        "MoleculeQ",
        "MoleculeRecognize",
        "MoleculeSubstructureCount",
        "MoleculeValue",
        "Moment",
        "MomentConvert",
        "MomentEvaluate",
        "MomentGeneratingFunction",
        "MomentOfInertia",
        "Monday",
        "Monitor",
        "MonomialList",
        "MonomialOrder",
        "MonsterGroupM",
        "MoonPhase",
        "MoonPosition",
        "MorletWavelet",
        "MorphologicalBinarize",
        "MorphologicalBranchPoints",
        "MorphologicalComponents",
        "MorphologicalEulerNumber",
        "MorphologicalGraph",
        "MorphologicalPerimeter",
        "MorphologicalTransform",
        "MortalityData",
        "Most",
        "MountainData",
        "MouseAnnotation",
        "MouseAppearance",
        "MouseAppearanceTag",
        "MouseButtons",
        "Mouseover",
        "MousePointerNote",
        "MousePosition",
        "MovieData",
        "MovingAverage",
        "MovingMap",
        "MovingMedian",
        "MoyalDistribution",
        "MultiaxisArrangement",
        "Multicolumn",
        "MultiedgeStyle",
        "MultigraphQ",
        "MultilaunchWarning",
        "MultiLetterItalics",
        "MultiLetterStyle",
        "MultilineFunction",
        "Multinomial",
        "MultinomialDistribution",
        "MultinormalDistribution",
        "MultiplicativeOrder",
        "Multiplicity",
        "MultiplySides",
        "MultiscriptBoxOptions",
        "Multiselection",
        "MultivariateHypergeometricDistribution",
        "MultivariatePoissonDistribution",
        "MultivariateTDistribution",
        "N",
        "NakagamiDistribution",
        "NameQ",
        "Names",
        "NamespaceBox",
        "NamespaceBoxOptions",
        "Nand",
        "NArgMax",
        "NArgMin",
        "NBernoulliB",
        "NBodySimulation",
        "NBodySimulationData",
        "NCache",
        "NCaputoD",
        "NDEigensystem",
        "NDEigenvalues",
        "NDSolve",
        "NDSolveValue",
        "Nearest",
        "NearestFunction",
        "NearestMeshCells",
        "NearestNeighborG",
        "NearestNeighborGraph",
        "NearestTo",
        "NebulaData",
        "NeedlemanWunschSimilarity",
        "Needs",
        "Negative",
        "NegativeBinomialDistribution",
        "NegativeDefiniteMatrixQ",
        "NegativeIntegers",
        "NegativelyOrientedPoints",
        "NegativeMultinomialDistribution",
        "NegativeRationals",
        "NegativeReals",
        "NegativeSemidefiniteMatrixQ",
        "NeighborhoodData",
        "NeighborhoodGraph",
        "Nest",
        "NestedGreaterGreater",
        "NestedLessLess",
        "NestedScriptRules",
        "NestGraph",
        "NestList",
        "NestTree",
        "NestWhile",
        "NestWhileList",
        "NetAppend",
        "NetArray",
        "NetArrayLayer",
        "NetBidirectionalOperator",
        "NetChain",
        "NetDecoder",
        "NetDelete",
        "NetDrop",
        "NetEncoder",
        "NetEvaluationMode",
        "NetExternalObject",
        "NetExtract",
        "NetFlatten",
        "NetFoldOperator",
        "NetGANOperator",
        "NetGraph",
        "NetInformation",
        "NetInitialize",
        "NetInsert",
        "NetInsertSharedArrays",
        "NetJoin",
        "NetMapOperator",
        "NetMapThreadOperator",
        "NetMeasurements",
        "NetModel",
        "NetNestOperator",
        "NetPairEmbeddingOperator",
        "NetPort",
        "NetPortGradient",
        "NetPrepend",
        "NetRename",
        "NetReplace",
        "NetReplacePart",
        "NetSharedArray",
        "NetStateObject",
        "NetTake",
        "NetTrain",
        "NetTrainResultsObject",
        "NetUnfold",
        "NetworkPacketCapture",
        "NetworkPacketRecording",
        "NetworkPacketRecordingDuring",
        "NetworkPacketTrace",
        "NeumannValue",
        "NevilleThetaC",
        "NevilleThetaD",
        "NevilleThetaN",
        "NevilleThetaS",
        "NewPrimitiveStyle",
        "NExpectation",
        "Next",
        "NextCell",
        "NextDate",
        "NextPrime",
        "NextScheduledTaskTime",
        "NeymanScottPointProcess",
        "NFractionalD",
        "NHoldAll",
        "NHoldFirst",
        "NHoldRest",
        "NicholsGridLines",
        "NicholsPlot",
        "NightHemisphere",
        "NIntegrate",
        "NMaximize",
        "NMaxValue",
        "NMinimize",
        "NMinValue",
        "NominalScale",
        "NominalVariables",
        "NonAssociative",
        "NoncentralBetaDistribution",
        "NoncentralChiSquareDistribution",
        "NoncentralFRatioDistribution",
        "NoncentralStudentTDistribution",
        "NonCommutativeMultiply",
        "NonConstants",
        "NondimensionalizationTransform",
        "None",
        "NoneTrue",
        "NonlinearModelFit",
        "NonlinearStateSpaceModel",
        "NonlocalMeansFilter",
        "NonNegative",
        "NonNegativeIntegers",
        "NonNegativeRationals",
        "NonNegativeReals",
        "NonPositive",
        "NonPositiveIntegers",
        "NonPositiveRationals",
        "NonPositiveReals",
        "Nor",
        "NorlundB",
        "Norm",
        "Normal",
        "NormalDistribution",
        "NormalGrouping",
        "NormalizationLayer",
        "Normalize",
        "Normalized",
        "NormalizedSquaredEuclideanDistance",
        "NormalMatrixQ",
        "NormalsFunction",
        "NormFunction",
        "Not",
        "NotCongruent",
        "NotCupCap",
        "NotDoubleVerticalBar",
        "Notebook",
        "NotebookApply",
        "NotebookAutoSave",
        "NotebookBrowseDirectory",
        "NotebookClose",
        "NotebookConvertSettings",
        "NotebookCreate",
        "NotebookDefault",
        "NotebookDelete",
        "NotebookDirectory",
        "NotebookDynamicExpression",
        "NotebookEvaluate",
        "NotebookEventActions",
        "NotebookFileName",
        "NotebookFind",
        "NotebookGet",
        "NotebookImport",
        "NotebookInformation",
        "NotebookInterfaceObject",
        "NotebookLocate",
        "NotebookObject",
        "NotebookOpen",
        "NotebookPath",
        "NotebookPrint",
        "NotebookPut",
        "NotebookRead",
        "Notebooks",
        "NotebookSave",
        "NotebookSelection",
        "NotebooksMenu",
        "NotebookTemplate",
        "NotebookWrite",
        "NotElement",
        "NotEqualTilde",
        "NotExists",
        "NotGreater",
        "NotGreaterEqual",
        "NotGreaterFullEqual",
        "NotGreaterGreater",
        "NotGreaterLess",
        "NotGreaterSlantEqual",
        "NotGreaterTilde",
        "Nothing",
        "NotHumpDownHump",
        "NotHumpEqual",
        "NotificationFunction",
        "NotLeftTriangle",
        "NotLeftTriangleBar",
        "NotLeftTriangleEqual",
        "NotLess",
        "NotLessEqual",
        "NotLessFullEqual",
        "NotLessGreater",
        "NotLessLess",
        "NotLessSlantEqual",
        "NotLessTilde",
        "NotNestedGreaterGreater",
        "NotNestedLessLess",
        "NotPrecedes",
        "NotPrecedesEqual",
        "NotPrecedesSlantEqual",
        "NotPrecedesTilde",
        "NotReverseElement",
        "NotRightTriangle",
        "NotRightTriangleBar",
        "NotRightTriangleEqual",
        "NotSquareSubset",
        "NotSquareSubsetEqual",
        "NotSquareSuperset",
        "NotSquareSupersetEqual",
        "NotSubset",
        "NotSubsetEqual",
        "NotSucceeds",
        "NotSucceedsEqual",
        "NotSucceedsSlantEqual",
        "NotSucceedsTilde",
        "NotSuperset",
        "NotSupersetEqual",
        "NotTilde",
        "NotTildeEqual",
        "NotTildeFullEqual",
        "NotTildeTilde",
        "NotVerticalBar",
        "Now",
        "NoWhitespace",
        "NProbability",
        "NProduct",
        "NProductFactors",
        "NRoots",
        "NSolve",
        "NSolveValues",
        "NSum",
        "NSumTerms",
        "NuclearExplosionData",
        "NuclearReactorData",
        "Null",
        "NullRecords",
        "NullSpace",
        "NullWords",
        "Number",
        "NumberCompose",
        "NumberDecompose",
        "NumberDigit",
        "NumberExpand",
        "NumberFieldClassNumber",
        "NumberFieldDiscriminant",
        "NumberFieldFundamentalUnits",
        "NumberFieldIntegralBasis",
        "NumberFieldNormRepresentatives",
        "NumberFieldRegulator",
        "NumberFieldRootsOfUnity",
        "NumberFieldSignature",
        "NumberForm",
        "NumberFormat",
        "NumberLinePlot",
        "NumberMarks",
        "NumberMultiplier",
        "NumberPadding",
        "NumberPoint",
        "NumberQ",
        "NumberSeparator",
        "NumberSigns",
        "NumberString",
        "Numerator",
        "NumeratorDenominator",
        "NumericalOrder",
        "NumericalSort",
        "NumericArray",
        "NumericArrayQ",
        "NumericArrayType",
        "NumericFunction",
        "NumericQ",
        "NuttallWindow",
        "NValues",
        "NyquistGridLines",
        "NyquistPlot",
        "O",
        "ObjectExistsQ",
        "ObservabilityGramian",
        "ObservabilityMatrix",
        "ObservableDecomposition",
        "ObservableModelQ",
        "OceanData",
        "Octahedron",
        "OddQ",
        "Off",
        "Offset",
        "OLEData",
        "On",
        "ONanGroupON",
        "Once",
        "OneIdentity",
        "Opacity",
        "OpacityFunction",
        "OpacityFunctionScaling",
        "Open",
        "OpenAppend",
        "Opener",
        "OpenerBox",
        "OpenerBoxOptions",
        "OpenerView",
        "OpenFunctionInspectorPacket",
        "Opening",
        "OpenRead",
        "OpenSpecialOptions",
        "OpenTemporary",
        "OpenWrite",
        "Operate",
        "OperatingSystem",
        "OperatorApplied",
        "OptimumFlowData",
        "Optional",
        "OptionalElement",
        "OptionInspectorSettings",
        "OptionQ",
        "Options",
        "OptionsPacket",
        "OptionsPattern",
        "OptionValue",
        "OptionValueBox",
        "OptionValueBoxOptions",
        "Or",
        "Orange",
        "Order",
        "OrderDistribution",
        "OrderedQ",
        "Ordering",
        "OrderingBy",
        "OrderingLayer",
        "Orderless",
        "OrderlessPatternSequence",
        "OrdinalScale",
        "OrnsteinUhlenbeckProcess",
        "Orthogonalize",
        "OrthogonalMatrixQ",
        "Out",
        "Outer",
        "OuterPolygon",
        "OuterPolyhedron",
        "OutputAutoOverwrite",
        "OutputControllabilityMatrix",
        "OutputControllableModelQ",
        "OutputForm",
        "OutputFormData",
        "OutputGrouping",
        "OutputMathEditExpression",
        "OutputNamePacket",
        "OutputPorts",
        "OutputResponse",
        "OutputSizeLimit",
        "OutputStream",
        "Over",
        "OverBar",
        "OverDot",
        "Overflow",
        "OverHat",
        "Overlaps",
        "Overlay",
        "OverlayBox",
        "OverlayBoxOptions",
        "OverlayVideo",
        "Overscript",
        "OverscriptBox",
        "OverscriptBoxOptions",
        "OverTilde",
        "OverVector",
        "OverwriteTarget",
        "OwenT",
        "OwnValues",
        "Package",
        "PackingMethod",
        "PackPaclet",
        "PacletDataRebuild",
        "PacletDirectoryAdd",
        "PacletDirectoryLoad",
        "PacletDirectoryRemove",
        "PacletDirectoryUnload",
        "PacletDisable",
        "PacletEnable",
        "PacletFind",
        "PacletFindRemote",
        "PacletInformation",
        "PacletInstall",
        "PacletInstallSubmit",
        "PacletNewerQ",
        "PacletObject",
        "PacletObjectQ",
        "PacletSite",
        "PacletSiteObject",
        "PacletSiteRegister",
        "PacletSites",
        "PacletSiteUnregister",
        "PacletSiteUpdate",
        "PacletSymbol",
        "PacletUninstall",
        "PacletUpdate",
        "PaddedForm",
        "Padding",
        "PaddingLayer",
        "PaddingSize",
        "PadeApproximant",
        "PadLeft",
        "PadRight",
        "PageBreakAbove",
        "PageBreakBelow",
        "PageBreakWithin",
        "PageFooterLines",
        "PageFooters",
        "PageHeaderLines",
        "PageHeaders",
        "PageHeight",
        "PageRankCentrality",
        "PageTheme",
        "PageWidth",
        "Pagination",
        "PairCorrelationG",
        "PairedBarChart",
        "PairedHistogram",
        "PairedSmoothHistogram",
        "PairedTTest",
        "PairedZTest",
        "PaletteNotebook",
        "PalettePath",
        "PalettesMenuSettings",
        "PalindromeQ",
        "Pane",
        "PaneBox",
        "PaneBoxOptions",
        "Panel",
        "PanelBox",
        "PanelBoxOptions",
        "Paneled",
        "PaneSelector",
        "PaneSelectorBox",
        "PaneSelectorBoxOptions",
        "PaperWidth",
        "ParabolicCylinderD",
        "ParagraphIndent",
        "ParagraphSpacing",
        "ParallelArray",
        "ParallelAxisPlot",
        "ParallelCombine",
        "ParallelDo",
        "Parallelepiped",
        "ParallelEvaluate",
        "Parallelization",
        "Parallelize",
        "ParallelKernels",
        "ParallelMap",
        "ParallelNeeds",
        "Parallelogram",
        "ParallelProduct",
        "ParallelSubmit",
        "ParallelSum",
        "ParallelTable",
        "ParallelTry",
        "Parameter",
        "ParameterEstimator",
        "ParameterMixtureDistribution",
        "ParameterVariables",
        "ParametricConvexOptimization",
        "ParametricFunction",
        "ParametricNDSolve",
        "ParametricNDSolveValue",
        "ParametricPlot",
        "ParametricPlot3D",
        "ParametricRampLayer",
        "ParametricRegion",
        "ParentBox",
        "ParentCell",
        "ParentConnect",
        "ParentDirectory",
        "ParentEdgeLabel",
        "ParentEdgeLabelFunction",
        "ParentEdgeLabelStyle",
        "ParentEdgeShapeFunction",
        "ParentEdgeStyle",
        "ParentEdgeStyleFunction",
        "ParentForm",
        "Parenthesize",
        "ParentList",
        "ParentNotebook",
        "ParetoDistribution",
        "ParetoPickandsDistribution",
        "ParkData",
        "Part",
        "PartBehavior",
        "PartialCorrelationFunction",
        "PartialD",
        "ParticleAcceleratorData",
        "ParticleData",
        "Partition",
        "PartitionGranularity",
        "PartitionsP",
        "PartitionsQ",
        "PartLayer",
        "PartOfSpeech",
        "PartProtection",
        "ParzenWindow",
        "PascalDistribution",
        "PassEventsDown",
        "PassEventsUp",
        "Paste",
        "PasteAutoQuoteCharacters",
        "PasteBoxFormInlineCells",
        "PasteButton",
        "Path",
        "PathGraph",
        "PathGraphQ",
        "Pattern",
        "PatternFilling",
        "PatternReaction",
        "PatternSequence",
        "PatternTest",
        "PauliMatrix",
        "PaulWavelet",
        "Pause",
        "PausedTime",
        "PDF",
        "PeakDetect",
        "PeanoCurve",
        "PearsonChiSquareTest",
        "PearsonCorrelationTest",
        "PearsonDistribution",
        "PenttinenPointProcess",
        "PercentForm",
        "PerfectNumber",
        "PerfectNumberQ",
        "PerformanceGoal",
        "Perimeter",
        "PeriodicBoundaryCondition",
        "PeriodicInterpolation",
        "Periodogram",
        "PeriodogramArray",
        "Permanent",
        "Permissions",
        "PermissionsGroup",
        "PermissionsGroupMemberQ",
        "PermissionsGroups",
        "PermissionsKey",
        "PermissionsKeys",
        "PermutationCycles",
        "PermutationCyclesQ",
        "PermutationGroup",
        "PermutationLength",
        "PermutationList",
        "PermutationListQ",
        "PermutationMatrix",
        "PermutationMax",
        "PermutationMin",
        "PermutationOrder",
        "PermutationPower",
        "PermutationProduct",
        "PermutationReplace",
        "Permutations",
        "PermutationSupport",
        "Permute",
        "PeronaMalikFilter",
        "Perpendicular",
        "PerpendicularBisector",
        "PersistenceLocation",
        "PersistenceTime",
        "PersistentObject",
        "PersistentObjects",
        "PersistentSymbol",
        "PersistentValue",
        "PersonData",
        "PERTDistribution",
        "PetersenGraph",
        "PhaseMargins",
        "PhaseRange",
        "PhongShading",
        "PhysicalSystemData",
        "Pi",
        "Pick",
        "PickedElements",
        "PickMode",
        "PIDData",
        "PIDDerivativeFilter",
        "PIDFeedforward",
        "PIDTune",
        "Piecewise",
        "PiecewiseExpand",
        "PieChart",
        "PieChart3D",
        "PillaiTrace",
        "PillaiTraceTest",
        "PingTime",
        "Pink",
        "PitchRecognize",
        "Pivoting",
        "PixelConstrained",
        "PixelValue",
        "PixelValuePositions",
        "Placed",
        "Placeholder",
        "PlaceholderLayer",
        "PlaceholderReplace",
        "Plain",
        "PlanarAngle",
        "PlanarFaceList",
        "PlanarGraph",
        "PlanarGraphQ",
        "PlanckRadiationLaw",
        "PlaneCurveData",
        "PlanetaryMoonData",
        "PlanetData",
        "PlantData",
        "Play",
        "PlaybackSettings",
        "PlayRange",
        "Plot",
        "Plot3D",
        "Plot3Matrix",
        "PlotDivision",
        "PlotJoined",
        "PlotLabel",
        "PlotLabels",
        "PlotLayout",
        "PlotLegends",
        "PlotMarkers",
        "PlotPoints",
        "PlotRange",
        "PlotRangeClipping",
        "PlotRangeClipPlanesStyle",
        "PlotRangePadding",
        "PlotRegion",
        "PlotStyle",
        "PlotTheme",
        "Pluralize",
        "Plus",
        "PlusMinus",
        "Pochhammer",
        "PodStates",
        "PodWidth",
        "Point",
        "Point3DBox",
        "Point3DBoxOptions",
        "PointBox",
        "PointBoxOptions",
        "PointCountDistribution",
        "PointDensity",
        "PointDensityFunction",
        "PointFigureChart",
        "PointLegend",
        "PointLight",
        "PointProcessEstimator",
        "PointProcessFitTest",
        "PointProcessParameterAssumptions",
        "PointProcessParameterQ",
        "PointSize",
        "PointStatisticFunction",
        "PointValuePlot",
        "PoissonConsulDistribution",
        "PoissonDistribution",
        "PoissonPDEComponent",
        "PoissonPointProcess",
        "PoissonProcess",
        "PoissonWindow",
        "PolarAxes",
        "PolarAxesOrigin",
        "PolarGridLines",
        "PolarPlot",
        "PolarTicks",
        "PoleZeroMarkers",
        "PolyaAeppliDistribution",
        "PolyGamma",
        "Polygon",
        "Polygon3DBox",
        "Polygon3DBoxOptions",
        "PolygonalNumber",
        "PolygonAngle",
        "PolygonBox",
        "PolygonBoxOptions",
        "PolygonCoordinates",
        "PolygonDecomposition",
        "PolygonHoleScale",
        "PolygonIntersections",
        "PolygonScale",
        "Polyhedron",
        "PolyhedronAngle",
        "PolyhedronBox",
        "PolyhedronBoxOptions",
        "PolyhedronCoordinates",
        "PolyhedronData",
        "PolyhedronDecomposition",
        "PolyhedronGenus",
        "PolyLog",
        "PolynomialExpressionQ",
        "PolynomialExtendedGCD",
        "PolynomialForm",
        "PolynomialGCD",
        "PolynomialLCM",
        "PolynomialMod",
        "PolynomialQ",
        "PolynomialQuotient",
        "PolynomialQuotientRemainder",
        "PolynomialReduce",
        "PolynomialRemainder",
        "Polynomials",
        "PolynomialSumOfSquaresList",
        "PoolingLayer",
        "PopupMenu",
        "PopupMenuBox",
        "PopupMenuBoxOptions",
        "PopupView",
        "PopupWindow",
        "Position",
        "PositionIndex",
        "PositionLargest",
        "PositionSmallest",
        "Positive",
        "PositiveDefiniteMatrixQ",
        "PositiveIntegers",
        "PositivelyOrientedPoints",
        "PositiveRationals",
        "PositiveReals",
        "PositiveSemidefiniteMatrixQ",
        "PossibleZeroQ",
        "Postfix",
        "PostScript",
        "Power",
        "PowerDistribution",
        "PowerExpand",
        "PowerMod",
        "PowerModList",
        "PowerRange",
        "PowerSpectralDensity",
        "PowersRepresentations",
        "PowerSymmetricPolynomial",
        "Precedence",
        "PrecedenceForm",
        "Precedes",
        "PrecedesEqual",
        "PrecedesSlantEqual",
        "PrecedesTilde",
        "Precision",
        "PrecisionGoal",
        "PreDecrement",
        "Predict",
        "PredictionRoot",
        "PredictorFunction",
        "PredictorInformation",
        "PredictorMeasurements",
        "PredictorMeasurementsObject",
        "PreemptProtect",
        "PreferencesPath",
        "PreferencesSettings",
        "Prefix",
        "PreIncrement",
        "Prepend",
        "PrependLayer",
        "PrependTo",
        "PreprocessingRules",
        "PreserveColor",
        "PreserveImageOptions",
        "Previous",
        "PreviousCell",
        "PreviousDate",
        "PriceGraphDistribution",
        "PrimaryPlaceholder",
        "Prime",
        "PrimeNu",
        "PrimeOmega",
        "PrimePi",
        "PrimePowerQ",
        "PrimeQ",
        "Primes",
        "PrimeZetaP",
        "PrimitivePolynomialQ",
        "PrimitiveRoot",
        "PrimitiveRootList",
        "PrincipalComponents",
        "PrincipalValue",
        "Print",
        "PrintableASCIIQ",
        "PrintAction",
        "PrintForm",
        "PrintingCopies",
        "PrintingOptions",
        "PrintingPageRange",
        "PrintingStartingPageNumber",
        "PrintingStyleEnvironment",
        "Printout3D",
        "Printout3DPreviewer",
        "PrintPrecision",
        "PrintTemporary",
        "Prism",
        "PrismBox",
        "PrismBoxOptions",
        "PrivateCellOptions",
        "PrivateEvaluationOptions",
        "PrivateFontOptions",
        "PrivateFrontEndOptions",
        "PrivateKey",
        "PrivateNotebookOptions",
        "PrivatePaths",
        "Probability",
        "ProbabilityDistribution",
        "ProbabilityPlot",
        "ProbabilityPr",
        "ProbabilityScalePlot",
        "ProbitModelFit",
        "ProcessConnection",
        "ProcessDirectory",
        "ProcessEnvironment",
        "Processes",
        "ProcessEstimator",
        "ProcessInformation",
        "ProcessObject",
        "ProcessParameterAssumptions",
        "ProcessParameterQ",
        "ProcessStateDomain",
        "ProcessStatus",
        "ProcessTimeDomain",
        "Product",
        "ProductDistribution",
        "ProductLog",
        "ProgressIndicator",
        "ProgressIndicatorBox",
        "ProgressIndicatorBoxOptions",
        "ProgressReporting",
        "Projection",
        "Prolog",
        "PromptForm",
        "ProofObject",
        "PropagateAborts",
        "Properties",
        "Property",
        "PropertyList",
        "PropertyValue",
        "Proportion",
        "Proportional",
        "Protect",
        "Protected",
        "ProteinData",
        "Pruning",
        "PseudoInverse",
        "PsychrometricPropertyData",
        "PublicKey",
        "PublisherID",
        "PulsarData",
        "PunctuationCharacter",
        "Purple",
        "Put",
        "PutAppend",
        "Pyramid",
        "PyramidBox",
        "PyramidBoxOptions",
        "QBinomial",
        "QFactorial",
        "QGamma",
        "QHypergeometricPFQ",
        "QnDispersion",
        "QPochhammer",
        "QPolyGamma",
        "QRDecomposition",
        "QuadraticIrrationalQ",
        "QuadraticOptimization",
        "Quantile",
        "QuantilePlot",
        "Quantity",
        "QuantityArray",
        "QuantityDistribution",
        "QuantityForm",
        "QuantityMagnitude",
        "QuantityQ",
        "QuantityUnit",
        "QuantityVariable",
        "QuantityVariableCanonicalUnit",
        "QuantityVariableDimensions",
        "QuantityVariableIdentifier",
        "QuantityVariablePhysicalQuantity",
        "Quartics",
        "QuartileDeviation",
        "Quartiles",
        "QuartileSkewness",
        "Query",
        "QuestionGenerator",
        "QuestionInterface",
        "QuestionObject",
        "QuestionSelector",
        "QueueingNetworkProcess",
        "QueueingProcess",
        "QueueProperties",
        "Quiet",
        "QuietEcho",
        "Quit",
        "Quotient",
        "QuotientRemainder",
        "RadialAxisPlot",
        "RadialGradientFilling",
        "RadialGradientImage",
        "RadialityCentrality",
        "RadicalBox",
        "RadicalBoxOptions",
        "RadioButton",
        "RadioButtonBar",
        "RadioButtonBox",
        "RadioButtonBoxOptions",
        "Radon",
        "RadonTransform",
        "RamanujanTau",
        "RamanujanTauL",
        "RamanujanTauTheta",
        "RamanujanTauZ",
        "Ramp",
        "Random",
        "RandomArrayLayer",
        "RandomChoice",
        "RandomColor",
        "RandomComplex",
        "RandomDate",
        "RandomEntity",
        "RandomFunction",
        "RandomGeneratorState",
        "RandomGeoPosition",
        "RandomGraph",
        "RandomImage",
        "RandomInstance",
        "RandomInteger",
        "RandomPermutation",
        "RandomPoint",
        "RandomPointConfiguration",
        "RandomPolygon",
        "RandomPolyhedron",
        "RandomPrime",
        "RandomReal",
        "RandomSample",
        "RandomSeed",
        "RandomSeeding",
        "RandomTime",
        "RandomTree",
        "RandomVariate",
        "RandomWalkProcess",
        "RandomWord",
        "Range",
        "RangeFilter",
        "RangeSpecification",
        "RankedMax",
        "RankedMin",
        "RarerProbability",
        "Raster",
        "Raster3D",
        "Raster3DBox",
        "Raster3DBoxOptions",
        "RasterArray",
        "RasterBox",
        "RasterBoxOptions",
        "Rasterize",
        "RasterSize",
        "Rational",
        "RationalExpressionQ",
        "RationalFunctions",
        "Rationalize",
        "Rationals",
        "Ratios",
        "RawArray",
        "RawBoxes",
        "RawData",
        "RawMedium",
        "RayleighDistribution",
        "Re",
        "ReactionBalance",
        "ReactionBalancedQ",
        "ReactionPDETerm",
        "Read",
        "ReadByteArray",
        "ReadLine",
        "ReadList",
        "ReadProtected",
        "ReadString",
        "Real",
        "RealAbs",
        "RealBlockDiagonalForm",
        "RealDigits",
        "RealExponent",
        "Reals",
        "RealSign",
        "Reap",
        "RebuildPacletData",
        "RecalibrationFunction",
        "RecognitionPrior",
        "RecognitionThreshold",
        "ReconstructionMesh",
        "Record",
        "RecordLists",
        "RecordSeparators",
        "Rectangle",
        "RectangleBox",
        "RectangleBoxOptions",
        "RectangleChart",
        "RectangleChart3D",
        "RectangularRepeatingElement",
        "RecurrenceFilter",
        "RecurrenceTable",
        "RecurringDigitsForm",
        "Red",
        "Reduce",
        "RefBox",
        "ReferenceLineStyle",
        "ReferenceMarkers",
        "ReferenceMarkerStyle",
        "Refine",
        "ReflectionMatrix",
        "ReflectionTransform",
        "Refresh",
        "RefreshRate",
        "Region",
        "RegionBinarize",
        "RegionBoundary",
        "RegionBoundaryStyle",
        "RegionBounds",
        "RegionCentroid",
        "RegionCongruent",
        "RegionConvert",
        "RegionDifference",
        "RegionDilation",
        "RegionDimension",
        "RegionDisjoint",
        "RegionDistance",
        "RegionDistanceFunction",
        "RegionEmbeddingDimension",
        "RegionEqual",
        "RegionErosion",
        "RegionFillingStyle",
        "RegionFit",
        "RegionFunction",
        "RegionImage",
        "RegionIntersection",
        "RegionMeasure",
        "RegionMember",
        "RegionMemberFunction",
        "RegionMoment",
        "RegionNearest",
        "RegionNearestFunction",
        "RegionPlot",
        "RegionPlot3D",
        "RegionProduct",
        "RegionQ",
        "RegionResize",
        "RegionSimilar",
        "RegionSize",
        "RegionSymmetricDifference",
        "RegionUnion",
        "RegionWithin",
        "RegisterExternalEvaluator",
        "RegularExpression",
        "Regularization",
        "RegularlySampledQ",
        "RegularPolygon",
        "ReIm",
        "ReImLabels",
        "ReImPlot",
        "ReImStyle",
        "Reinstall",
        "RelationalDatabase",
        "RelationGraph",
        "Release",
        "ReleaseHold",
        "ReliabilityDistribution",
        "ReliefImage",
        "ReliefPlot",
        "RemoteAuthorizationCaching",
        "RemoteBatchJobAbort",
        "RemoteBatchJobObject",
        "RemoteBatchJobs",
        "RemoteBatchMapSubmit",
        "RemoteBatchSubmissionEnvironment",
        "RemoteBatchSubmit",
        "RemoteConnect",
        "RemoteConnectionObject",
        "RemoteEvaluate",
        "RemoteFile",
        "RemoteInputFiles",
        "RemoteKernelObject",
        "RemoteProviderSettings",
        "RemoteRun",
        "RemoteRunProcess",
        "RemovalConditions",
        "Remove",
        "RemoveAlphaChannel",
        "RemoveAsynchronousTask",
        "RemoveAudioStream",
        "RemoveBackground",
        "RemoveChannelListener",
        "RemoveChannelSubscribers",
        "Removed",
        "RemoveDiacritics",
        "RemoveInputStreamMethod",
        "RemoveOutputStreamMethod",
        "RemoveProperty",
        "RemoveScheduledTask",
        "RemoveUsers",
        "RemoveVideoStream",
        "RenameDirectory",
        "RenameFile",
        "RenderAll",
        "RenderingOptions",
        "RenewalProcess",
        "RenkoChart",
        "RepairMesh",
        "Repeated",
        "RepeatedNull",
        "RepeatedString",
        "RepeatedTiming",
        "RepeatingElement",
        "Replace",
        "ReplaceAll",
        "ReplaceAt",
        "ReplaceHeldPart",
        "ReplaceImageValue",
        "ReplaceList",
        "ReplacePart",
        "ReplacePixelValue",
        "ReplaceRepeated",
        "ReplicateLayer",
        "RequiredPhysicalQuantities",
        "Resampling",
        "ResamplingAlgorithmData",
        "ResamplingMethod",
        "Rescale",
        "RescalingTransform",
        "ResetDirectory",
        "ResetScheduledTask",
        "ReshapeLayer",
        "Residue",
        "ResidueSum",
        "ResizeLayer",
        "Resolve",
        "ResolveContextAliases",
        "ResourceAcquire",
        "ResourceData",
        "ResourceFunction",
        "ResourceObject",
        "ResourceRegister",
        "ResourceRemove",
        "ResourceSearch",
        "ResourceSubmissionObject",
        "ResourceSubmit",
        "ResourceSystemBase",
        "ResourceSystemPath",
        "ResourceUpdate",
        "ResourceVersion",
        "ResponseForm",
        "Rest",
        "RestartInterval",
        "Restricted",
        "Resultant",
        "ResumePacket",
        "Return",
        "ReturnCreatesNewCell",
        "ReturnEntersInput",
        "ReturnExpressionPacket",
        "ReturnInputFormPacket",
        "ReturnPacket",
        "ReturnReceiptFunction",
        "ReturnTextPacket",
        "Reverse",
        "ReverseApplied",
        "ReverseBiorthogonalSplineWavelet",
        "ReverseElement",
        "ReverseEquilibrium",
        "ReverseGraph",
        "ReverseSort",
        "ReverseSortBy",
        "ReverseUpEquilibrium",
        "RevolutionAxis",
        "RevolutionPlot3D",
        "RGBColor",
        "RiccatiSolve",
        "RiceDistribution",
        "RidgeFilter",
        "RiemannR",
        "RiemannSiegelTheta",
        "RiemannSiegelZ",
        "RiemannXi",
        "Riffle",
        "Right",
        "RightArrow",
        "RightArrowBar",
        "RightArrowLeftArrow",
        "RightComposition",
        "RightCosetRepresentative",
        "RightDownTeeVector",
        "RightDownVector",
        "RightDownVectorBar",
        "RightTee",
        "RightTeeArrow",
        "RightTeeVector",
        "RightTriangle",
        "RightTriangleBar",
        "RightTriangleEqual",
        "RightUpDownVector",
        "RightUpTeeVector",
        "RightUpVector",
        "RightUpVectorBar",
        "RightVector",
        "RightVectorBar",
        "RipleyK",
        "RipleyRassonRegion",
        "RiskAchievementImportance",
        "RiskReductionImportance",
        "RobustConvexOptimization",
        "RogersTanimotoDissimilarity",
        "RollPitchYawAngles",
        "RollPitchYawMatrix",
        "RomanNumeral",
        "Root",
        "RootApproximant",
        "RootIntervals",
        "RootLocusPlot",
        "RootMeanSquare",
        "RootOfUnityQ",
        "RootReduce",
        "Roots",
        "RootSum",
        "RootTree",
        "Rotate",
        "RotateLabel",
        "RotateLeft",
        "RotateRight",
        "RotationAction",
        "RotationBox",
        "RotationBoxOptions",
        "RotationMatrix",
        "RotationTransform",
        "Round",
        "RoundImplies",
        "RoundingRadius",
        "Row",
        "RowAlignments",
        "RowBackgrounds",
        "RowBox",
        "RowHeights",
        "RowLines",
        "RowMinHeight",
        "RowReduce",
        "RowsEqual",
        "RowSpacings",
        "RSolve",
        "RSolveValue",
        "RudinShapiro",
        "RudvalisGroupRu",
        "Rule",
        "RuleCondition",
        "RuleDelayed",
        "RuleForm",
        "RulePlot",
        "RulerUnits",
        "RulesTree",
        "Run",
        "RunProcess",
        "RunScheduledTask",
        "RunThrough",
        "RuntimeAttributes",
        "RuntimeOptions",
        "RussellRaoDissimilarity",
        "SameAs",
        "SameQ",
        "SameTest",
        "SameTestProperties",
        "SampledEntityClass",
        "SampleDepth",
        "SampledSoundFunction",
        "SampledSoundList",
        "SampleRate",
        "SamplingPeriod",
        "SARIMAProcess",
        "SARMAProcess",
        "SASTriangle",
        "SatelliteData",
        "SatisfiabilityCount",
        "SatisfiabilityInstances",
        "SatisfiableQ",
        "Saturday",
        "Save",
        "Saveable",
        "SaveAutoDelete",
        "SaveConnection",
        "SaveDefinitions",
        "SavitzkyGolayMatrix",
        "SawtoothWave",
        "Scale",
        "Scaled",
        "ScaleDivisions",
        "ScaledMousePosition",
        "ScaleOrigin",
        "ScalePadding",
        "ScaleRanges",
        "ScaleRangeStyle",
        "ScalingFunctions",
        "ScalingMatrix",
        "ScalingTransform",
        "Scan",
        "ScheduledTask",
        "ScheduledTaskActiveQ",
        "ScheduledTaskInformation",
        "ScheduledTaskInformationData",
        "ScheduledTaskObject",
        "ScheduledTasks",
        "SchurDecomposition",
        "ScientificForm",
        "ScientificNotationThreshold",
        "ScorerGi",
        "ScorerGiPrime",
        "ScorerHi",
        "ScorerHiPrime",
        "ScreenRectangle",
        "ScreenStyleEnvironment",
        "ScriptBaselineShifts",
        "ScriptForm",
        "ScriptLevel",
        "ScriptMinSize",
        "ScriptRules",
        "ScriptSizeMultipliers",
        "Scrollbars",
        "ScrollingOptions",
        "ScrollPosition",
        "SearchAdjustment",
        "SearchIndexObject",
        "SearchIndices",
        "SearchQueryString",
        "SearchResultObject",
        "Sec",
        "Sech",
        "SechDistribution",
        "SecondOrderConeOptimization",
        "SectionGrouping",
        "SectorChart",
        "SectorChart3D",
        "SectorOrigin",
        "SectorSpacing",
        "SecuredAuthenticationKey",
        "SecuredAuthenticationKeys",
        "SecurityCertificate",
        "SeedRandom",
        "Select",
        "Selectable",
        "SelectComponents",
        "SelectedCells",
        "SelectedNotebook",
        "SelectFirst",
        "Selection",
        "SelectionAnimate",
        "SelectionCell",
        "SelectionCellCreateCell",
        "SelectionCellDefaultStyle",
        "SelectionCellParentStyle",
        "SelectionCreateCell",
        "SelectionDebuggerTag",
        "SelectionEvaluate",
        "SelectionEvaluateCreateCell",
        "SelectionMove",
        "SelectionPlaceholder",
        "SelectWithContents",
        "SelfLoops",
        "SelfLoopStyle",
        "SemanticImport",
        "SemanticImportString",
        "SemanticInterpretation",
        "SemialgebraicComponentInstances",
        "SemidefiniteOptimization",
        "SendMail",
        "SendMessage",
        "Sequence",
        "SequenceAlignment",
        "SequenceAttentionLayer",
        "SequenceCases",
        "SequenceCount",
        "SequenceFold",
        "SequenceFoldList",
        "SequenceForm",
        "SequenceHold",
        "SequenceIndicesLayer",
        "SequenceLastLayer",
        "SequenceMostLayer",
        "SequencePosition",
        "SequencePredict",
        "SequencePredictorFunction",
        "SequenceReplace",
        "SequenceRestLayer",
        "SequenceReverseLayer",
        "SequenceSplit",
        "Series",
        "SeriesCoefficient",
        "SeriesData",
        "SeriesTermGoal",
        "ServiceConnect",
        "ServiceDisconnect",
        "ServiceExecute",
        "ServiceObject",
        "ServiceRequest",
        "ServiceResponse",
        "ServiceSubmit",
        "SessionSubmit",
        "SessionTime",
        "Set",
        "SetAccuracy",
        "SetAlphaChannel",
        "SetAttributes",
        "Setbacks",
        "SetCloudDirectory",
        "SetCookies",
        "SetDelayed",
        "SetDirectory",
        "SetEnvironment",
        "SetFileDate",
        "SetFileFormatProperties",
        "SetOptions",
        "SetOptionsPacket",
        "SetPermissions",
        "SetPrecision",
        "SetProperty",
        "SetSecuredAuthenticationKey",
        "SetSelectedNotebook",
        "SetSharedFunction",
        "SetSharedVariable",
        "SetStreamPosition",
        "SetSystemModel",
        "SetSystemOptions",
        "Setter",
        "SetterBar",
        "SetterBox",
        "SetterBoxOptions",
        "Setting",
        "SetUsers",
        "Shading",
        "Shallow",
        "ShannonWavelet",
        "ShapiroWilkTest",
        "Share",
        "SharingList",
        "Sharpen",
        "ShearingMatrix",
        "ShearingTransform",
        "ShellRegion",
        "ShenCastanMatrix",
        "ShiftedGompertzDistribution",
        "ShiftRegisterSequence",
        "Short",
        "ShortDownArrow",
        "Shortest",
        "ShortestMatch",
        "ShortestPathFunction",
        "ShortLeftArrow",
        "ShortRightArrow",
        "ShortTimeFourier",
        "ShortTimeFourierData",
        "ShortUpArrow",
        "Show",
        "ShowAutoConvert",
        "ShowAutoSpellCheck",
        "ShowAutoStyles",
        "ShowCellBracket",
        "ShowCellLabel",
        "ShowCellTags",
        "ShowClosedCellArea",
        "ShowCodeAssist",
        "ShowContents",
        "ShowControls",
        "ShowCursorTracker",
        "ShowGroupOpenCloseIcon",
        "ShowGroupOpener",
        "ShowInvisibleCharacters",
        "ShowPageBreaks",
        "ShowPredictiveInterface",
        "ShowSelection",
        "ShowShortBoxForm",
        "ShowSpecialCharacters",
        "ShowStringCharacters",
        "ShowSyntaxStyles",
        "ShrinkingDelay",
        "ShrinkWrapBoundingBox",
        "SiderealTime",
        "SiegelTheta",
        "SiegelTukeyTest",
        "SierpinskiCurve",
        "SierpinskiMesh",
        "Sign",
        "Signature",
        "SignedRankTest",
        "SignedRegionDistance",
        "SignificanceLevel",
        "SignPadding",
        "SignTest",
        "SimilarityRules",
        "SimpleGraph",
        "SimpleGraphQ",
        "SimplePolygonQ",
        "SimplePolyhedronQ",
        "Simplex",
        "Simplify",
        "Sin",
        "Sinc",
        "SinghMaddalaDistribution",
        "SingleEvaluation",
        "SingleLetterItalics",
        "SingleLetterStyle",
        "SingularValueDecomposition",
        "SingularValueList",
        "SingularValuePlot",
        "SingularValues",
        "Sinh",
        "SinhIntegral",
        "SinIntegral",
        "SixJSymbol",
        "Skeleton",
        "SkeletonTransform",
        "SkellamDistribution",
        "Skewness",
        "SkewNormalDistribution",
        "SkinStyle",
        "Skip",
        "SliceContourPlot3D",
        "SliceDensityPlot3D",
        "SliceDistribution",
        "SliceVectorPlot3D",
        "Slider",
        "Slider2D",
        "Slider2DBox",
        "Slider2DBoxOptions",
        "SliderBox",
        "SliderBoxOptions",
        "SlideShowVideo",
        "SlideView",
        "Slot",
        "SlotSequence",
        "Small",
        "SmallCircle",
        "Smaller",
        "SmithDecomposition",
        "SmithDelayCompensator",
        "SmithWatermanSimilarity",
        "SmoothDensityHistogram",
        "SmoothHistogram",
        "SmoothHistogram3D",
        "SmoothKernelDistribution",
        "SmoothPointDensity",
        "SnDispersion",
        "Snippet",
        "SnippetsVideo",
        "SnubPolyhedron",
        "SocialMediaData",
        "Socket",
        "SocketConnect",
        "SocketListen",
        "SocketListener",
        "SocketObject",
        "SocketOpen",
        "SocketReadMessage",
        "SocketReadyQ",
        "Sockets",
        "SocketWaitAll",
        "SocketWaitNext",
        "SoftmaxLayer",
        "SokalSneathDissimilarity",
        "SolarEclipse",
        "SolarSystemFeatureData",
        "SolarTime",
        "SolidAngle",
        "SolidBoundaryLoadValue",
        "SolidData",
        "SolidDisplacementCondition",
        "SolidFixedCondition",
        "SolidMechanicsPDEComponent",
        "SolidMechanicsStrain",
        "SolidMechanicsStress",
        "SolidRegionQ",
        "Solve",
        "SolveAlways",
        "SolveDelayed",
        "SolveValues",
        "Sort",
        "SortBy",
        "SortedBy",
        "SortedEntityClass",
        "Sound",
        "SoundAndGraphics",
        "SoundNote",
        "SoundVolume",
        "SourceLink",
        "SourcePDETerm",
        "Sow",
        "Space",
        "SpaceCurveData",
        "SpaceForm",
        "Spacer",
        "Spacings",
        "Span",
        "SpanAdjustments",
        "SpanCharacterRounding",
        "SpanFromAbove",
        "SpanFromBoth",
        "SpanFromLeft",
        "SpanLineThickness",
        "SpanMaxSize",
        "SpanMinSize",
        "SpanningCharacters",
        "SpanSymmetric",
        "SparseArray",
        "SparseArrayQ",
        "SpatialBinnedPointData",
        "SpatialBoundaryCorrection",
        "SpatialEstimate",
        "SpatialEstimatorFunction",
        "SpatialGraphDistribution",
        "SpatialJ",
        "SpatialMedian",
        "SpatialNoiseLevel",
        "SpatialObservationRegionQ",
        "SpatialPointData",
        "SpatialPointSelect",
        "SpatialRandomnessTest",
        "SpatialTransformationLayer",
        "SpatialTrendFunction",
        "Speak",
        "SpeakerMatchQ",
        "SpearmanRankTest",
        "SpearmanRho",
        "SpeciesData",
        "SpecificityGoal",
        "SpectralLineData",
        "Spectrogram",
        "SpectrogramArray",
        "Specularity",
        "SpeechCases",
        "SpeechInterpreter",
        "SpeechRecognize",
        "SpeechSynthesize",
        "SpellingCorrection",
        "SpellingCorrectionList",
        "SpellingDictionaries",
        "SpellingDictionariesPath",
        "SpellingOptions",
        "Sphere",
        "SphereBox",
        "SphereBoxOptions",
        "SpherePoints",
        "SphericalBesselJ",
        "SphericalBesselY",
        "SphericalHankelH1",
        "SphericalHankelH2",
        "SphericalHarmonicY",
        "SphericalPlot3D",
        "SphericalRegion",
        "SphericalShell",
        "SpheroidalEigenvalue",
        "SpheroidalJoiningFactor",
        "SpheroidalPS",
        "SpheroidalPSPrime",
        "SpheroidalQS",
        "SpheroidalQSPrime",
        "SpheroidalRadialFactor",
        "SpheroidalS1",
        "SpheroidalS1Prime",
        "SpheroidalS2",
        "SpheroidalS2Prime",
        "Splice",
        "SplicedDistribution",
        "SplineClosed",
        "SplineDegree",
        "SplineKnots",
        "SplineWeights",
        "Split",
        "SplitBy",
        "SpokenString",
        "SpotLight",
        "Sqrt",
        "SqrtBox",
        "SqrtBoxOptions",
        "Square",
        "SquaredEuclideanDistance",
        "SquareFreeQ",
        "SquareIntersection",
        "SquareMatrixQ",
        "SquareRepeatingElement",
        "SquaresR",
        "SquareSubset",
        "SquareSubsetEqual",
        "SquareSuperset",
        "SquareSupersetEqual",
        "SquareUnion",
        "SquareWave",
        "SSSTriangle",
        "StabilityMargins",
        "StabilityMarginsStyle",
        "StableDistribution",
        "Stack",
        "StackBegin",
        "StackComplete",
        "StackedDateListPlot",
        "StackedListPlot",
        "StackInhibit",
        "StadiumShape",
        "StandardAtmosphereData",
        "StandardDeviation",
        "StandardDeviationFilter",
        "StandardForm",
        "Standardize",
        "Standardized",
        "StandardOceanData",
        "StandbyDistribution",
        "Star",
        "StarClusterData",
        "StarData",
        "StarGraph",
        "StartAsynchronousTask",
        "StartExternalSession",
        "StartingStepSize",
        "StartOfLine",
        "StartOfString",
        "StartProcess",
        "StartScheduledTask",
        "StartupSound",
        "StartWebSession",
        "StateDimensions",
        "StateFeedbackGains",
        "StateOutputEstimator",
        "StateResponse",
        "StateSpaceModel",
        "StateSpaceRealization",
        "StateSpaceTransform",
        "StateTransformationLinearize",
        "StationaryDistribution",
        "StationaryWaveletPacketTransform",
        "StationaryWaveletTransform",
        "StatusArea",
        "StatusCentrality",
        "StepMonitor",
        "StereochemistryElements",
        "StieltjesGamma",
        "StippleShading",
        "StirlingS1",
        "StirlingS2",
        "StopAsynchronousTask",
        "StoppingPowerData",
        "StopScheduledTask",
        "StrataVariables",
        "StratonovichProcess",
        "StraussHardcorePointProcess",
        "StraussPointProcess",
        "StreamColorFunction",
        "StreamColorFunctionScaling",
        "StreamDensityPlot",
        "StreamMarkers",
        "StreamPlot",
        "StreamPlot3D",
        "StreamPoints",
        "StreamPosition",
        "Streams",
        "StreamScale",
        "StreamStyle",
        "StrictInequalities",
        "String",
        "StringBreak",
        "StringByteCount",
        "StringCases",
        "StringContainsQ",
        "StringCount",
        "StringDelete",
        "StringDrop",
        "StringEndsQ",
        "StringExpression",
        "StringExtract",
        "StringForm",
        "StringFormat",
        "StringFormatQ",
        "StringFreeQ",
        "StringInsert",
        "StringJoin",
        "StringLength",
        "StringMatchQ",
        "StringPadLeft",
        "StringPadRight",
        "StringPart",
        "StringPartition",
        "StringPosition",
        "StringQ",
        "StringRepeat",
        "StringReplace",
        "StringReplaceList",
        "StringReplacePart",
        "StringReverse",
        "StringRiffle",
        "StringRotateLeft",
        "StringRotateRight",
        "StringSkeleton",
        "StringSplit",
        "StringStartsQ",
        "StringTake",
        "StringTakeDrop",
        "StringTemplate",
        "StringToByteArray",
        "StringToStream",
        "StringTrim",
        "StripBoxes",
        "StripOnInput",
        "StripStyleOnPaste",
        "StripWrapperBoxes",
        "StrokeForm",
        "Struckthrough",
        "StructuralImportance",
        "StructuredArray",
        "StructuredArrayHeadQ",
        "StructuredSelection",
        "StruveH",
        "StruveL",
        "Stub",
        "StudentTDistribution",
        "Style",
        "StyleBox",
        "StyleBoxAutoDelete",
        "StyleData",
        "StyleDefinitions",
        "StyleForm",
        "StyleHints",
        "StyleKeyMapping",
        "StyleMenuListing",
        "StyleNameDialogSettings",
        "StyleNames",
        "StylePrint",
        "StyleSheetPath",
        "Subdivide",
        "Subfactorial",
        "Subgraph",
        "SubMinus",
        "SubPlus",
        "SubresultantPolynomialRemainders",
        "SubresultantPolynomials",
        "Subresultants",
        "Subscript",
        "SubscriptBox",
        "SubscriptBoxOptions",
        "Subscripted",
        "Subsequences",
        "Subset",
        "SubsetCases",
        "SubsetCount",
        "SubsetEqual",
        "SubsetMap",
        "SubsetPosition",
        "SubsetQ",
        "SubsetReplace",
        "Subsets",
        "SubStar",
        "SubstitutionSystem",
        "Subsuperscript",
        "SubsuperscriptBox",
        "SubsuperscriptBoxOptions",
        "SubtitleEncoding",
        "SubtitleTrackSelection",
        "Subtract",
        "SubtractFrom",
        "SubtractSides",
        "SubValues",
        "Succeeds",
        "SucceedsEqual",
        "SucceedsSlantEqual",
        "SucceedsTilde",
        "Success",
        "SuchThat",
        "Sum",
        "SumConvergence",
        "SummationLayer",
        "Sunday",
        "SunPosition",
        "Sunrise",
        "Sunset",
        "SuperDagger",
        "SuperMinus",
        "SupernovaData",
        "SuperPlus",
        "Superscript",
        "SuperscriptBox",
        "SuperscriptBoxOptions",
        "Superset",
        "SupersetEqual",
        "SuperStar",
        "Surd",
        "SurdForm",
        "SurfaceAppearance",
        "SurfaceArea",
        "SurfaceColor",
        "SurfaceData",
        "SurfaceGraphics",
        "SurvivalDistribution",
        "SurvivalFunction",
        "SurvivalModel",
        "SurvivalModelFit",
        "SuspendPacket",
        "SuzukiDistribution",
        "SuzukiGroupSuz",
        "SwatchLegend",
        "Switch",
        "Symbol",
        "SymbolName",
        "SymletWavelet",
        "Symmetric",
        "SymmetricDifference",
        "SymmetricGroup",
        "SymmetricKey",
        "SymmetricMatrixQ",
        "SymmetricPolynomial",
        "SymmetricReduction",
        "Symmetrize",
        "SymmetrizedArray",
        "SymmetrizedArrayRules",
        "SymmetrizedDependentComponents",
        "SymmetrizedIndependentComponents",
        "SymmetrizedReplacePart",
        "SynchronousInitialization",
        "SynchronousUpdating",
        "Synonyms",
        "Syntax",
        "SyntaxForm",
        "SyntaxInformation",
        "SyntaxLength",
        "SyntaxPacket",
        "SyntaxQ",
        "SynthesizeMissingValues",
        "SystemCredential",
        "SystemCredentialData",
        "SystemCredentialKey",
        "SystemCredentialKeys",
        "SystemCredentialStoreObject",
        "SystemDialogInput",
        "SystemException",
        "SystemGet",
        "SystemHelpPath",
        "SystemInformation",
        "SystemInformationData",
        "SystemInstall",
        "SystemModel",
        "SystemModeler",
        "SystemModelExamples",
        "SystemModelLinearize",
        "SystemModelMeasurements",
        "SystemModelParametricSimulate",
        "SystemModelPlot",
        "SystemModelProgressReporting",
        "SystemModelReliability",
        "SystemModels",
        "SystemModelSimulate",
        "SystemModelSimulateSensitivity",
        "SystemModelSimulationData",
        "SystemOpen",
        "SystemOptions",
        "SystemProcessData",
        "SystemProcesses",
        "SystemsConnectionsModel",
        "SystemsModelControllerData",
        "SystemsModelDelay",
        "SystemsModelDelayApproximate",
        "SystemsModelDelete",
        "SystemsModelDimensions",
        "SystemsModelExtract",
        "SystemsModelFeedbackConnect",
        "SystemsModelLabels",
        "SystemsModelLinearity",
        "SystemsModelMerge",
        "SystemsModelOrder",
        "SystemsModelParallelConnect",
        "SystemsModelSeriesConnect",
        "SystemsModelStateFeedbackConnect",
        "SystemsModelVectorRelativeOrders",
        "SystemStub",
        "SystemTest",
        "Tab",
        "TabFilling",
        "Table",
        "TableAlignments",
        "TableDepth",
        "TableDirections",
        "TableForm",
        "TableHeadings",
        "TableSpacing",
        "TableView",
        "TableViewBox",
        "TableViewBoxAlignment",
        "TableViewBoxBackground",
        "TableViewBoxHeaders",
        "TableViewBoxItemSize",
        "TableViewBoxItemStyle",
        "TableViewBoxOptions",
        "TabSpacings",
        "TabView",
        "TabViewBox",
        "TabViewBoxOptions",
        "TagBox",
        "TagBoxNote",
        "TagBoxOptions",
        "TaggingRules",
        "TagSet",
        "TagSetDelayed",
        "TagStyle",
        "TagUnset",
        "Take",
        "TakeDrop",
        "TakeLargest",
        "TakeLargestBy",
        "TakeList",
        "TakeSmallest",
        "TakeSmallestBy",
        "TakeWhile",
        "Tally",
        "Tan",
        "Tanh",
        "TargetDevice",
        "TargetFunctions",
        "TargetSystem",
        "TargetUnits",
        "TaskAbort",
        "TaskExecute",
        "TaskObject",
        "TaskRemove",
        "TaskResume",
        "Tasks",
        "TaskSuspend",
        "TaskWait",
        "TautologyQ",
        "TelegraphProcess",
        "TemplateApply",
        "TemplateArgBox",
        "TemplateBox",
        "TemplateBoxOptions",
        "TemplateEvaluate",
        "TemplateExpression",
        "TemplateIf",
        "TemplateObject",
        "TemplateSequence",
        "TemplateSlot",
        "TemplateSlotSequence",
        "TemplateUnevaluated",
        "TemplateVerbatim",
        "TemplateWith",
        "TemporalData",
        "TemporalRegularity",
        "Temporary",
        "TemporaryVariable",
        "TensorContract",
        "TensorDimensions",
        "TensorExpand",
        "TensorProduct",
        "TensorQ",
        "TensorRank",
        "TensorReduce",
        "TensorSymmetry",
        "TensorTranspose",
        "TensorWedge",
        "TerminatedEvaluation",
        "TernaryListPlot",
        "TernaryPlotCorners",
        "TestID",
        "TestReport",
        "TestReportObject",
        "TestResultObject",
        "Tetrahedron",
        "TetrahedronBox",
        "TetrahedronBoxOptions",
        "TeXForm",
        "TeXSave",
        "Text",
        "Text3DBox",
        "Text3DBoxOptions",
        "TextAlignment",
        "TextBand",
        "TextBoundingBox",
        "TextBox",
        "TextCases",
        "TextCell",
        "TextClipboardType",
        "TextContents",
        "TextData",
        "TextElement",
        "TextForm",
        "TextGrid",
        "TextJustification",
        "TextLine",
        "TextPacket",
        "TextParagraph",
        "TextPosition",
        "TextRecognize",
        "TextSearch",
        "TextSearchReport",
        "TextSentences",
        "TextString",
        "TextStructure",
        "TextStyle",
        "TextTranslation",
        "Texture",
        "TextureCoordinateFunction",
        "TextureCoordinateScaling",
        "TextWords",
        "Therefore",
        "ThermodynamicData",
        "ThermometerGauge",
        "Thick",
        "Thickness",
        "Thin",
        "Thinning",
        "ThisLink",
        "ThomasPointProcess",
        "ThompsonGroupTh",
        "Thread",
        "Threaded",
        "ThreadingLayer",
        "ThreeJSymbol",
        "Threshold",
        "Through",
        "Throw",
        "ThueMorse",
        "Thumbnail",
        "Thursday",
        "TickDirection",
        "TickLabelOrientation",
        "TickLabelPositioning",
        "TickLabels",
        "TickLengths",
        "TickPositions",
        "Ticks",
        "TicksStyle",
        "TideData",
        "Tilde",
        "TildeEqual",
        "TildeFullEqual",
        "TildeTilde",
        "TimeConstrained",
        "TimeConstraint",
        "TimeDirection",
        "TimeFormat",
        "TimeGoal",
        "TimelinePlot",
        "TimeObject",
        "TimeObjectQ",
        "TimeRemaining",
        "Times",
        "TimesBy",
        "TimeSeries",
        "TimeSeriesAggregate",
        "TimeSeriesForecast",
        "TimeSeriesInsert",
        "TimeSeriesInvertibility",
        "TimeSeriesMap",
        "TimeSeriesMapThread",
        "TimeSeriesModel",
        "TimeSeriesModelFit",
        "TimeSeriesResample",
        "TimeSeriesRescale",
        "TimeSeriesShift",
        "TimeSeriesThread",
        "TimeSeriesWindow",
        "TimeSystem",
        "TimeSystemConvert",
        "TimeUsed",
        "TimeValue",
        "TimeWarpingCorrespondence",
        "TimeWarpingDistance",
        "TimeZone",
        "TimeZoneConvert",
        "TimeZoneOffset",
        "Timing",
        "Tiny",
        "TitleGrouping",
        "TitsGroupT",
        "ToBoxes",
        "ToCharacterCode",
        "ToColor",
        "ToContinuousTimeModel",
        "ToDate",
        "Today",
        "ToDiscreteTimeModel",
        "ToEntity",
        "ToeplitzMatrix",
        "ToExpression",
        "ToFileName",
        "Together",
        "Toggle",
        "ToggleFalse",
        "Toggler",
        "TogglerBar",
        "TogglerBox",
        "TogglerBoxOptions",
        "ToHeldExpression",
        "ToInvertibleTimeSeries",
        "TokenWords",
        "Tolerance",
        "ToLowerCase",
        "Tomorrow",
        "ToNumberField",
        "TooBig",
        "Tooltip",
        "TooltipBox",
        "TooltipBoxOptions",
        "TooltipDelay",
        "TooltipStyle",
        "ToonShading",
        "Top",
        "TopHatTransform",
        "ToPolarCoordinates",
        "TopologicalSort",
        "ToRadicals",
        "ToRawPointer",
        "ToRules",
        "Torus",
        "TorusGraph",
        "ToSphericalCoordinates",
        "ToString",
        "Total",
        "TotalHeight",
        "TotalLayer",
        "TotalVariationFilter",
        "TotalWidth",
        "TouchPosition",
        "TouchscreenAutoZoom",
        "TouchscreenControlPlacement",
        "ToUpperCase",
        "TourVideo",
        "Tr",
        "Trace",
        "TraceAbove",
        "TraceAction",
        "TraceBackward",
        "TraceDepth",
        "TraceDialog",
        "TraceForward",
        "TraceInternal",
        "TraceLevel",
        "TraceOff",
        "TraceOn",
        "TraceOriginal",
        "TracePrint",
        "TraceScan",
        "TrackCellChangeTimes",
        "TrackedSymbols",
        "TrackingFunction",
        "TracyWidomDistribution",
        "TradingChart",
        "TraditionalForm",
        "TraditionalFunctionNotation",
        "TraditionalNotation",
        "TraditionalOrder",
        "TrainImageContentDetector",
        "TrainingProgressCheckpointing",
        "TrainingProgressFunction",
        "TrainingProgressMeasurements",
        "TrainingProgressReporting",
        "TrainingStoppingCriterion",
        "TrainingUpdateSchedule",
        "TrainTextContentDetector",
        "TransferFunctionCancel",
        "TransferFunctionExpand",
        "TransferFunctionFactor",
        "TransferFunctionModel",
        "TransferFunctionPoles",
        "TransferFunctionTransform",
        "TransferFunctionZeros",
        "TransformationClass",
        "TransformationFunction",
        "TransformationFunctions",
        "TransformationMatrix",
        "TransformedDistribution",
        "TransformedField",
        "TransformedProcess",
        "TransformedRegion",
        "TransitionDirection",
        "TransitionDuration",
        "TransitionEffect",
        "TransitiveClosureGraph",
        "TransitiveReductionGraph",
        "Translate",
        "TranslationOptions",
        "TranslationTransform",
        "Transliterate",
        "Transparent",
        "TransparentColor",
        "Transpose",
        "TransposeLayer",
        "TrapEnterKey",
        "TrapSelection",
        "TravelDirections",
        "TravelDirectionsData",
        "TravelDistance",
        "TravelDistanceList",
        "TravelMethod",
        "TravelTime",
        "Tree",
        "TreeCases",
        "TreeChildren",
        "TreeCount",
        "TreeData",
        "TreeDelete",
        "TreeDepth",
        "TreeElementCoordinates",
        "TreeElementLabel",
        "TreeElementLabelFunction",
        "TreeElementLabelStyle",
        "TreeElementShape",
        "TreeElementShapeFunction",
        "TreeElementSize",
        "TreeElementSizeFunction",
        "TreeElementStyle",
        "TreeElementStyleFunction",
        "TreeExpression",
        "TreeExtract",
        "TreeFold",
        "TreeForm",
        "TreeGraph",
        "TreeGraphQ",
        "TreeInsert",
        "TreeLayout",
        "TreeLeafCount",
        "TreeLeafQ",
        "TreeLeaves",
        "TreeLevel",
        "TreeMap",
        "TreeMapAt",
        "TreeOutline",
        "TreePlot",
        "TreePosition",
        "TreeQ",
        "TreeReplacePart",
        "TreeRules",
        "TreeScan",
        "TreeSelect",
        "TreeSize",
        "TreeTraversalOrder",
        "TrendStyle",
        "Triangle",
        "TriangleCenter",
        "TriangleConstruct",
        "TriangleMeasurement",
        "TriangleWave",
        "TriangularDistribution",
        "TriangulateMesh",
        "Trig",
        "TrigExpand",
        "TrigFactor",
        "TrigFactorList",
        "Trigger",
        "TrigReduce",
        "TrigToExp",
        "TrimmedMean",
        "TrimmedVariance",
        "TropicalStormData",
        "True",
        "TrueQ",
        "TruncatedDistribution",
        "TruncatedPolyhedron",
        "TsallisQExponentialDistribution",
        "TsallisQGaussianDistribution",
        "TTest",
        "Tube",
        "TubeBezierCurveBox",
        "TubeBezierCurveBoxOptions",
        "TubeBox",
        "TubeBoxOptions",
        "TubeBSplineCurveBox",
        "TubeBSplineCurveBoxOptions",
        "Tuesday",
        "TukeyLambdaDistribution",
        "TukeyWindow",
        "TunnelData",
        "Tuples",
        "TuranGraph",
        "TuringMachine",
        "TuttePolynomial",
        "TwoWayRule",
        "Typed",
        "TypeDeclaration",
        "TypeEvaluate",
        "TypeHint",
        "TypeOf",
        "TypeSpecifier",
        "UnateQ",
        "Uncompress",
        "UnconstrainedParameters",
        "Undefined",
        "UnderBar",
        "Underflow",
        "Underlined",
        "Underoverscript",
        "UnderoverscriptBox",
        "UnderoverscriptBoxOptions",
        "Underscript",
        "UnderscriptBox",
        "UnderscriptBoxOptions",
        "UnderseaFeatureData",
        "UndirectedEdge",
        "UndirectedGraph",
        "UndirectedGraphQ",
        "UndoOptions",
        "UndoTrackedVariables",
        "Unequal",
        "UnequalTo",
        "Unevaluated",
        "UniformDistribution",
        "UniformGraphDistribution",
        "UniformPolyhedron",
        "UniformSumDistribution",
        "Uninstall",
        "Union",
        "UnionedEntityClass",
        "UnionPlus",
        "Unique",
        "UniqueElements",
        "UnitaryMatrixQ",
        "UnitBox",
        "UnitConvert",
        "UnitDimensions",
        "Unitize",
        "UnitRootTest",
        "UnitSimplify",
        "UnitStep",
        "UnitSystem",
        "UnitTriangle",
        "UnitVector",
        "UnitVectorLayer",
        "UnityDimensions",
        "UniverseModelData",
        "UniversityData",
        "UnixTime",
        "UnlabeledTree",
        "UnmanageObject",
        "Unprotect",
        "UnregisterExternalEvaluator",
        "UnsameQ",
        "UnsavedVariables",
        "Unset",
        "UnsetShared",
        "Until",
        "UntrackedVariables",
        "Up",
        "UpArrow",
        "UpArrowBar",
        "UpArrowDownArrow",
        "Update",
        "UpdateDynamicObjects",
        "UpdateDynamicObjectsSynchronous",
        "UpdateInterval",
        "UpdatePacletSites",
        "UpdateSearchIndex",
        "UpDownArrow",
        "UpEquilibrium",
        "UpperCaseQ",
        "UpperLeftArrow",
        "UpperRightArrow",
        "UpperTriangularize",
        "UpperTriangularMatrix",
        "UpperTriangularMatrixQ",
        "Upsample",
        "UpSet",
        "UpSetDelayed",
        "UpTee",
        "UpTeeArrow",
        "UpTo",
        "UpValues",
        "URL",
        "URLBuild",
        "URLDecode",
        "URLDispatcher",
        "URLDownload",
        "URLDownloadSubmit",
        "URLEncode",
        "URLExecute",
        "URLExpand",
        "URLFetch",
        "URLFetchAsynchronous",
        "URLParse",
        "URLQueryDecode",
        "URLQueryEncode",
        "URLRead",
        "URLResponseTime",
        "URLSave",
        "URLSaveAsynchronous",
        "URLShorten",
        "URLSubmit",
        "UseEmbeddedLibrary",
        "UseGraphicsRange",
        "UserDefinedWavelet",
        "Using",
        "UsingFrontEnd",
        "UtilityFunction",
        "V2Get",
        "ValenceErrorHandling",
        "ValenceFilling",
        "ValidationLength",
        "ValidationSet",
        "ValueBox",
        "ValueBoxOptions",
        "ValueDimensions",
        "ValueForm",
        "ValuePreprocessingFunction",
        "ValueQ",
        "Values",
        "ValuesData",
        "VandermondeMatrix",
        "Variables",
        "Variance",
        "VarianceEquivalenceTest",
        "VarianceEstimatorFunction",
        "VarianceGammaDistribution",
        "VarianceGammaPointProcess",
        "VarianceTest",
        "VariogramFunction",
        "VariogramModel",
        "VectorAngle",
        "VectorAround",
        "VectorAspectRatio",
        "VectorColorFunction",
        "VectorColorFunctionScaling",
        "VectorDensityPlot",
        "VectorDisplacementPlot",
        "VectorDisplacementPlot3D",
        "VectorGlyphData",
        "VectorGreater",
        "VectorGreaterEqual",
        "VectorLess",
        "VectorLessEqual",
        "VectorMarkers",
        "VectorPlot",
        "VectorPlot3D",
        "VectorPoints",
        "VectorQ",
        "VectorRange",
        "Vectors",
        "VectorScale",
        "VectorScaling",
        "VectorSizes",
        "VectorStyle",
        "Vee",
        "Verbatim",
        "Verbose",
        "VerificationTest",
        "VerifyConvergence",
        "VerifyDerivedKey",
        "VerifyDigitalSignature",
        "VerifyFileSignature",
        "VerifyInterpretation",
        "VerifySecurityCertificates",
        "VerifySolutions",
        "VerifyTestAssumptions",
        "VersionedPreferences",
        "VertexAdd",
        "VertexCapacity",
        "VertexChromaticNumber",
        "VertexColors",
        "VertexComponent",
        "VertexConnectivity",
        "VertexContract",
        "VertexCoordinateRules",
        "VertexCoordinates",
        "VertexCorrelationSimilarity",
        "VertexCosineSimilarity",
        "VertexCount",
        "VertexCoverQ",
        "VertexDataCoordinates",
        "VertexDegree",
        "VertexDelete",
        "VertexDiceSimilarity",
        "VertexEccentricity",
        "VertexInComponent",
        "VertexInComponentGraph",
        "VertexInDegree",
        "VertexIndex",
        "VertexJaccardSimilarity",
        "VertexLabeling",
        "VertexLabels",
        "VertexLabelStyle",
        "VertexList",
        "VertexNormals",
        "VertexOutComponent",
        "VertexOutComponentGraph",
        "VertexOutDegree",
        "VertexQ",
        "VertexRenderingFunction",
        "VertexReplace",
        "VertexShape",
        "VertexShapeFunction",
        "VertexSize",
        "VertexStyle",
        "VertexTextureCoordinates",
        "VertexTransitiveGraphQ",
        "VertexWeight",
        "VertexWeightedGraphQ",
        "Vertical",
        "VerticalBar",
        "VerticalForm",
        "VerticalGauge",
        "VerticalSeparator",
        "VerticalSlider",
        "VerticalTilde",
        "Video",
        "VideoCapture",
        "VideoCombine",
        "VideoDelete",
        "VideoEncoding",
        "VideoExtractFrames",
        "VideoFrameList",
        "VideoFrameMap",
        "VideoGenerator",
        "VideoInsert",
        "VideoIntervals",
        "VideoJoin",
        "VideoMap",
        "VideoMapList",
        "VideoMapTimeSeries",
        "VideoPadding",
        "VideoPause",
        "VideoPlay",
        "VideoQ",
        "VideoRecord",
        "VideoReplace",
        "VideoScreenCapture",
        "VideoSplit",
        "VideoStop",
        "VideoStream",
        "VideoStreams",
        "VideoTimeStretch",
        "VideoTrackSelection",
        "VideoTranscode",
        "VideoTransparency",
        "VideoTrim",
        "ViewAngle",
        "ViewCenter",
        "ViewMatrix",
        "ViewPoint",
        "ViewPointSelectorSettings",
        "ViewPort",
        "ViewProjection",
        "ViewRange",
        "ViewVector",
        "ViewVertical",
        "VirtualGroupData",
        "Visible",
        "VisibleCell",
        "VoiceStyleData",
        "VoigtDistribution",
        "VolcanoData",
        "Volume",
        "VonMisesDistribution",
        "VoronoiMesh",
        "WaitAll",
        "WaitAsynchronousTask",
        "WaitNext",
        "WaitUntil",
        "WakebyDistribution",
        "WalleniusHypergeometricDistribution",
        "WaringYuleDistribution",
        "WarpingCorrespondence",
        "WarpingDistance",
        "WatershedComponents",
        "WatsonUSquareTest",
        "WattsStrogatzGraphDistribution",
        "WaveletBestBasis",
        "WaveletFilterCoefficients",
        "WaveletImagePlot",
        "WaveletListPlot",
        "WaveletMapIndexed",
        "WaveletMatrixPlot",
        "WaveletPhi",
        "WaveletPsi",
        "WaveletScale",
        "WaveletScalogram",
        "WaveletThreshold",
        "WavePDEComponent",
        "WeaklyConnectedComponents",
        "WeaklyConnectedGraphComponents",
        "WeaklyConnectedGraphQ",
        "WeakStationarity",
        "WeatherData",
        "WeatherForecastData",
        "WebAudioSearch",
        "WebColumn",
        "WebElementObject",
        "WeberE",
        "WebExecute",
        "WebImage",
        "WebImageSearch",
        "WebItem",
        "WebPageMetaInformation",
        "WebRow",
        "WebSearch",
        "WebSessionObject",
        "WebSessions",
        "WebWindowObject",
        "Wedge",
        "Wednesday",
        "WeibullDistribution",
        "WeierstrassE1",
        "WeierstrassE2",
        "WeierstrassE3",
        "WeierstrassEta1",
        "WeierstrassEta2",
        "WeierstrassEta3",
        "WeierstrassHalfPeriods",
        "WeierstrassHalfPeriodW1",
        "WeierstrassHalfPeriodW2",
        "WeierstrassHalfPeriodW3",
        "WeierstrassInvariantG2",
        "WeierstrassInvariantG3",
        "WeierstrassInvariants",
        "WeierstrassP",
        "WeierstrassPPrime",
        "WeierstrassSigma",
        "WeierstrassZeta",
        "WeightedAdjacencyGraph",
        "WeightedAdjacencyMatrix",
        "WeightedData",
        "WeightedGraphQ",
        "Weights",
        "WelchWindow",
        "WheelGraph",
        "WhenEvent",
        "Which",
        "While",
        "White",
        "WhiteNoiseProcess",
        "WhitePoint",
        "Whitespace",
        "WhitespaceCharacter",
        "WhittakerM",
        "WhittakerW",
        "WholeCellGroupOpener",
        "WienerFilter",
        "WienerProcess",
        "WignerD",
        "WignerSemicircleDistribution",
        "WikidataData",
        "WikidataSearch",
        "WikipediaData",
        "WikipediaSearch",
        "WilksW",
        "WilksWTest",
        "WindDirectionData",
        "WindingCount",
        "WindingPolygon",
        "WindowClickSelect",
        "WindowElements",
        "WindowFloating",
        "WindowFrame",
        "WindowFrameElements",
        "WindowMargins",
        "WindowMovable",
        "WindowOpacity",
        "WindowPersistentStyles",
        "WindowSelected",
        "WindowSize",
        "WindowStatusArea",
        "WindowTitle",
        "WindowToolbars",
        "WindowWidth",
        "WindSpeedData",
        "WindVectorData",
        "WinsorizedMean",
        "WinsorizedVariance",
        "WishartMatrixDistribution",
        "With",
        "WithCleanup",
        "WithLock",
        "WolframAlpha",
        "WolframAlphaDate",
        "WolframAlphaQuantity",
        "WolframAlphaResult",
        "WolframCloudSettings",
        "WolframLanguageData",
        "Word",
        "WordBoundary",
        "WordCharacter",
        "WordCloud",
        "WordCount",
        "WordCounts",
        "WordData",
        "WordDefinition",
        "WordFrequency",
        "WordFrequencyData",
        "WordList",
        "WordOrientation",
        "WordSearch",
        "WordSelectionFunction",
        "WordSeparators",
        "WordSpacings",
        "WordStem",
        "WordTranslation",
        "WorkingPrecision",
        "WrapAround",
        "Write",
        "WriteLine",
        "WriteString",
        "Wronskian",
        "XMLElement",
        "XMLObject",
        "XMLTemplate",
        "Xnor",
        "Xor",
        "XYZColor",
        "Yellow",
        "Yesterday",
        "YuleDissimilarity",
        "ZernikeR",
        "ZeroSymmetric",
        "ZeroTest",
        "ZeroWidthTimes",
        "Zeta",
        "ZetaZero",
        "ZIPCodeData",
        "ZipfDistribution",
        "ZoomCenter",
        "ZoomFactor",
        "ZTest",
        "ZTransform",
        "$Aborted",
        "$ActivationGroupID",
        "$ActivationKey",
        "$ActivationUserRegistered",
        "$AddOnsDirectory",
        "$AllowDataUpdates",
        "$AllowExternalChannelFunctions",
        "$AllowInternet",
        "$AssertFunction",
        "$Assumptions",
        "$AsynchronousTask",
        "$AudioDecoders",
        "$AudioEncoders",
        "$AudioInputDevices",
        "$AudioOutputDevices",
        "$BaseDirectory",
        "$BasePacletsDirectory",
        "$BatchInput",
        "$BatchOutput",
        "$BlockchainBase",
        "$BoxForms",
        "$ByteOrdering",
        "$CacheBaseDirectory",
        "$Canceled",
        "$ChannelBase",
        "$CharacterEncoding",
        "$CharacterEncodings",
        "$CloudAccountName",
        "$CloudBase",
        "$CloudConnected",
        "$CloudConnection",
        "$CloudCreditsAvailable",
        "$CloudEvaluation",
        "$CloudExpressionBase",
        "$CloudObjectNameFormat",
        "$CloudObjectURLType",
        "$CloudRootDirectory",
        "$CloudSymbolBase",
        "$CloudUserID",
        "$CloudUserUUID",
        "$CloudVersion",
        "$CloudVersionNumber",
        "$CloudWolframEngineVersionNumber",
        "$CommandLine",
        "$CompilationTarget",
        "$CompilerEnvironment",
        "$ConditionHold",
        "$ConfiguredKernels",
        "$Context",
        "$ContextAliases",
        "$ContextPath",
        "$ControlActiveSetting",
        "$Cookies",
        "$CookieStore",
        "$CreationDate",
        "$CryptographicEllipticCurveNames",
        "$CurrentLink",
        "$CurrentTask",
        "$CurrentWebSession",
        "$DataStructures",
        "$DateStringFormat",
        "$DefaultAudioInputDevice",
        "$DefaultAudioOutputDevice",
        "$DefaultFont",
        "$DefaultFrontEnd",
        "$DefaultImagingDevice",
        "$DefaultKernels",
        "$DefaultLocalBase",
        "$DefaultLocalKernel",
        "$DefaultMailbox",
        "$DefaultNetworkInterface",
        "$DefaultPath",
        "$DefaultProxyRules",
        "$DefaultRemoteBatchSubmissionEnvironment",
        "$DefaultRemoteKernel",
        "$DefaultSystemCredentialStore",
        "$Display",
        "$DisplayFunction",
        "$DistributedContexts",
        "$DynamicEvaluation",
        "$Echo",
        "$EmbedCodeEnvironments",
        "$EmbeddableServices",
        "$EntityStores",
        "$Epilog",
        "$EvaluationCloudBase",
        "$EvaluationCloudObject",
        "$EvaluationEnvironment",
        "$ExportFormats",
        "$ExternalIdentifierTypes",
        "$ExternalStorageBase",
        "$Failed",
        "$FinancialDataSource",
        "$FontFamilies",
        "$FormatType",
        "$FrontEnd",
        "$FrontEndSession",
        "$GeneratedAssetLocation",
        "$GeoEntityTypes",
        "$GeoLocation",
        "$GeoLocationCity",
        "$GeoLocationCountry",
        "$GeoLocationPrecision",
        "$GeoLocationSource",
        "$HistoryLength",
        "$HomeDirectory",
        "$HTMLExportRules",
        "$HTTPCookies",
        "$HTTPRequest",
        "$IgnoreEOF",
        "$ImageFormattingWidth",
        "$ImageResolution",
        "$ImagingDevice",
        "$ImagingDevices",
        "$ImportFormats",
        "$IncomingMailSettings",
        "$InitialDirectory",
        "$Initialization",
        "$InitializationContexts",
        "$Input",
        "$InputFileName",
        "$InputStreamMethods",
        "$Inspector",
        "$InstallationDate",
        "$InstallationDirectory",
        "$InterfaceEnvironment",
        "$InterpreterTypes",
        "$IterationLimit",
        "$KernelCount",
        "$KernelID",
        "$Language",
        "$LaunchDirectory",
        "$LibraryPath",
        "$LicenseExpirationDate",
        "$LicenseID",
        "$LicenseProcesses",
        "$LicenseServer",
        "$LicenseSubprocesses",
        "$LicenseType",
        "$Line",
        "$Linked",
        "$LinkSupported",
        "$LoadedFiles",
        "$LocalBase",
        "$LocalSymbolBase",
        "$MachineAddresses",
        "$MachineDomain",
        "$MachineDomains",
        "$MachineEpsilon",
        "$MachineID",
        "$MachineName",
        "$MachinePrecision",
        "$MachineType",
        "$MaxDisplayedChildren",
        "$MaxExtraPrecision",
        "$MaxLicenseProcesses",
        "$MaxLicenseSubprocesses",
        "$MaxMachineNumber",
        "$MaxNumber",
        "$MaxPiecewiseCases",
        "$MaxPrecision",
        "$MaxRootDegree",
        "$MessageGroups",
        "$MessageList",
        "$MessagePrePrint",
        "$Messages",
        "$MinMachineNumber",
        "$MinNumber",
        "$MinorReleaseNumber",
        "$MinPrecision",
        "$MobilePhone",
        "$ModuleNumber",
        "$NetworkConnected",
        "$NetworkInterfaces",
        "$NetworkLicense",
        "$NewMessage",
        "$NewSymbol",
        "$NotebookInlineStorageLimit",
        "$Notebooks",
        "$NoValue",
        "$NumberMarks",
        "$Off",
        "$OperatingSystem",
        "$Output",
        "$OutputForms",
        "$OutputSizeLimit",
        "$OutputStreamMethods",
        "$Packages",
        "$ParentLink",
        "$ParentProcessID",
        "$PasswordFile",
        "$PatchLevelID",
        "$Path",
        "$PathnameSeparator",
        "$PerformanceGoal",
        "$Permissions",
        "$PermissionsGroupBase",
        "$PersistenceBase",
        "$PersistencePath",
        "$PipeSupported",
        "$PlotTheme",
        "$Post",
        "$Pre",
        "$PreferencesDirectory",
        "$PreInitialization",
        "$PrePrint",
        "$PreRead",
        "$PrintForms",
        "$PrintLiteral",
        "$Printout3DPreviewer",
        "$ProcessID",
        "$ProcessorCount",
        "$ProcessorType",
        "$ProductInformation",
        "$ProgramName",
        "$ProgressReporting",
        "$PublisherID",
        "$RandomGeneratorState",
        "$RandomState",
        "$RecursionLimit",
        "$RegisteredDeviceClasses",
        "$RegisteredUserName",
        "$ReleaseNumber",
        "$RequesterAddress",
        "$RequesterCloudUserID",
        "$RequesterCloudUserUUID",
        "$RequesterWolframID",
        "$RequesterWolframUUID",
        "$ResourceSystemBase",
        "$ResourceSystemPath",
        "$RootDirectory",
        "$ScheduledTask",
        "$ScriptCommandLine",
        "$ScriptInputString",
        "$SecuredAuthenticationKeyTokens",
        "$ServiceCreditsAvailable",
        "$Services",
        "$SessionID",
        "$SetParentLink",
        "$SharedFunctions",
        "$SharedVariables",
        "$SoundDisplay",
        "$SoundDisplayFunction",
        "$SourceLink",
        "$SSHAuthentication",
        "$SubtitleDecoders",
        "$SubtitleEncoders",
        "$SummaryBoxDataSizeLimit",
        "$SuppressInputFormHeads",
        "$SynchronousEvaluation",
        "$SyntaxHandler",
        "$System",
        "$SystemCharacterEncoding",
        "$SystemCredentialStore",
        "$SystemID",
        "$SystemMemory",
        "$SystemShell",
        "$SystemTimeZone",
        "$SystemWordLength",
        "$TargetSystems",
        "$TemplatePath",
        "$TemporaryDirectory",
        "$TemporaryPrefix",
        "$TestFileName",
        "$TextStyle",
        "$TimedOut",
        "$TimeUnit",
        "$TimeZone",
        "$TimeZoneEntity",
        "$TopDirectory",
        "$TraceOff",
        "$TraceOn",
        "$TracePattern",
        "$TracePostAction",
        "$TracePreAction",
        "$UnitSystem",
        "$Urgent",
        "$UserAddOnsDirectory",
        "$UserAgentLanguages",
        "$UserAgentMachine",
        "$UserAgentName",
        "$UserAgentOperatingSystem",
        "$UserAgentString",
        "$UserAgentVersion",
        "$UserBaseDirectory",
        "$UserBasePacletsDirectory",
        "$UserDocumentsDirectory",
        "$Username",
        "$UserName",
        "$UserURLBase",
        "$Version",
        "$VersionNumber",
        "$VideoDecoders",
        "$VideoEncoders",
        "$VoiceStyles",
        "$WolframDocumentsDirectory",
        "$WolframID",
        "$WolframUUID"
      ];
      function mathematica(hljs) {
        const regex = hljs.regex;
        const BASE_RE = /([2-9]|[1-2]\d|[3][0-5])\^\^/;
        const BASE_DIGITS_RE = /(\w*\.\w+|\w+\.\w*|\w+)/;
        const NUMBER_RE = /(\d*\.\d+|\d+\.\d*|\d+)/;
        const BASE_NUMBER_RE = regex.either(regex.concat(BASE_RE, BASE_DIGITS_RE), NUMBER_RE);
        const ACCURACY_RE = /``[+-]?(\d*\.\d+|\d+\.\d*|\d+)/;
        const PRECISION_RE = /`([+-]?(\d*\.\d+|\d+\.\d*|\d+))?/;
        const APPROXIMATE_NUMBER_RE = regex.either(ACCURACY_RE, PRECISION_RE);
        const SCIENTIFIC_NOTATION_RE = /\*\^[+-]?\d+/;
        const MATHEMATICA_NUMBER_RE = regex.concat(
          BASE_NUMBER_RE,
          regex.optional(APPROXIMATE_NUMBER_RE),
          regex.optional(SCIENTIFIC_NOTATION_RE)
        );
        const NUMBERS = {
          className: "number",
          relevance: 0,
          begin: MATHEMATICA_NUMBER_RE
        };
        const SYMBOL_RE = /[a-zA-Z$][a-zA-Z0-9$]*/;
        const SYSTEM_SYMBOLS_SET = new Set(SYSTEM_SYMBOLS);
        const SYMBOLS = { variants: [
          {
            className: "builtin-symbol",
            begin: SYMBOL_RE,
            // for performance out of fear of regex.either(...Mathematica.SYSTEM_SYMBOLS)
            "on:begin": (match, response) => {
              if (!SYSTEM_SYMBOLS_SET.has(match[0])) response.ignoreMatch();
            }
          },
          {
            className: "symbol",
            relevance: 0,
            begin: SYMBOL_RE
          }
        ] };
        const NAMED_CHARACTER = {
          className: "named-character",
          begin: /\\\[[$a-zA-Z][$a-zA-Z0-9]+\]/
        };
        const OPERATORS = {
          className: "operator",
          relevance: 0,
          begin: /[+\-*/,;.:@~=><&|_`'^?!%]+/
        };
        const PATTERNS = {
          className: "pattern",
          relevance: 0,
          begin: /([a-zA-Z$][a-zA-Z0-9$]*)?_+([a-zA-Z$][a-zA-Z0-9$]*)?/
        };
        const SLOTS = {
          className: "slot",
          relevance: 0,
          begin: /#[a-zA-Z$][a-zA-Z0-9$]*|#+[0-9]?/
        };
        const BRACES = {
          className: "brace",
          relevance: 0,
          begin: /[[\](){}]/
        };
        const MESSAGES = {
          className: "message-name",
          relevance: 0,
          begin: regex.concat("::", SYMBOL_RE)
        };
        return {
          name: "Mathematica",
          aliases: [
            "mma",
            "wl"
          ],
          classNameAliases: {
            brace: "punctuation",
            pattern: "type",
            slot: "type",
            symbol: "variable",
            "named-character": "variable",
            "builtin-symbol": "built_in",
            "message-name": "string"
          },
          contains: [
            hljs.COMMENT(/\(\*/, /\*\)/, { contains: ["self"] }),
            PATTERNS,
            SLOTS,
            MESSAGES,
            SYMBOLS,
            NAMED_CHARACTER,
            hljs.QUOTE_STRING_MODE,
            NUMBERS,
            OPERATORS,
            BRACES
          ]
        };
      }
      module.exports = mathematica;
    }
  });

  // node_modules/highlight.js/lib/languages/matlab.js
  var require_matlab = __commonJS({
    "node_modules/highlight.js/lib/languages/matlab.js"(exports, module) {
      function matlab(hljs) {
        const TRANSPOSE_RE = "('|\\.')+";
        const TRANSPOSE = {
          relevance: 0,
          contains: [{ begin: TRANSPOSE_RE }]
        };
        return {
          name: "Matlab",
          keywords: {
            keyword: "arguments break case catch classdef continue else elseif end enumeration events for function global if methods otherwise parfor persistent properties return spmd switch try while",
            built_in: "sin sind sinh asin asind asinh cos cosd cosh acos acosd acosh tan tand tanh atan atand atan2 atanh sec secd sech asec asecd asech csc cscd csch acsc acscd acsch cot cotd coth acot acotd acoth hypot exp expm1 log log1p log10 log2 pow2 realpow reallog realsqrt sqrt nthroot nextpow2 abs angle complex conj imag real unwrap isreal cplxpair fix floor ceil round mod rem sign airy besselj bessely besselh besseli besselk beta betainc betaln ellipj ellipke erf erfc erfcx erfinv expint gamma gammainc gammaln psi legendre cross dot factor isprime primes gcd lcm rat rats perms nchoosek factorial cart2sph cart2pol pol2cart sph2cart hsv2rgb rgb2hsv zeros ones eye repmat rand randn linspace logspace freqspace meshgrid accumarray size length ndims numel disp isempty isequal isequalwithequalnans cat reshape diag blkdiag tril triu fliplr flipud flipdim rot90 find sub2ind ind2sub bsxfun ndgrid permute ipermute shiftdim circshift squeeze isscalar isvector ans eps realmax realmin pi i|0 inf nan isnan isinf isfinite j|0 why compan gallery hadamard hankel hilb invhilb magic pascal rosser toeplitz vander wilkinson max min nanmax nanmin mean nanmean type table readtable writetable sortrows sort figure plot plot3 scatter scatter3 cellfun legend intersect ismember procrustes hold num2cell "
          },
          illegal: '(//|"|#|/\\*|\\s+/\\w+)',
          contains: [
            {
              className: "function",
              beginKeywords: "function",
              end: "$",
              contains: [
                hljs.UNDERSCORE_TITLE_MODE,
                {
                  className: "params",
                  variants: [
                    {
                      begin: "\\(",
                      end: "\\)"
                    },
                    {
                      begin: "\\[",
                      end: "\\]"
                    }
                  ]
                }
              ]
            },
            {
              className: "built_in",
              begin: /true|false/,
              relevance: 0,
              starts: TRANSPOSE
            },
            {
              begin: "[a-zA-Z][a-zA-Z_0-9]*" + TRANSPOSE_RE,
              relevance: 0
            },
            {
              className: "number",
              begin: hljs.C_NUMBER_RE,
              relevance: 0,
              starts: TRANSPOSE
            },
            {
              className: "string",
              begin: "'",
              end: "'",
              contains: [{ begin: "''" }]
            },
            {
              begin: /\]|\}|\)/,
              relevance: 0,
              starts: TRANSPOSE
            },
            {
              className: "string",
              begin: '"',
              end: '"',
              contains: [{ begin: '""' }],
              starts: TRANSPOSE
            },
            hljs.COMMENT("^\\s*%\\{\\s*$", "^\\s*%\\}\\s*$"),
            hljs.COMMENT("%", "$")
          ]
        };
      }
      module.exports = matlab;
    }
  });

  // node_modules/highlight.js/lib/languages/maxima.js
  var require_maxima = __commonJS({
    "node_modules/highlight.js/lib/languages/maxima.js"(exports, module) {
      function maxima(hljs) {
        const KEYWORDS = "if then else elseif for thru do while unless step in and or not";
        const LITERALS = "true false unknown inf minf ind und %e %i %pi %phi %gamma";
        const BUILTIN_FUNCTIONS = " abasep abs absint absolute_real_time acos acosh acot acoth acsc acsch activate addcol add_edge add_edges addmatrices addrow add_vertex add_vertices adjacency_matrix adjoin adjoint af agd airy airy_ai airy_bi airy_dai airy_dbi algsys alg_type alias allroots alphacharp alphanumericp amortization %and annuity_fv annuity_pv antid antidiff AntiDifference append appendfile apply apply1 apply2 applyb1 apropos args arit_amortization arithmetic arithsum array arrayapply arrayinfo arraymake arraysetapply ascii asec asech asin asinh askinteger asksign assoc assoc_legendre_p assoc_legendre_q assume assume_external_byte_order asympa at atan atan2 atanh atensimp atom atvalue augcoefmatrix augmented_lagrangian_method av average_degree backtrace bars barsplot barsplot_description base64 base64_decode bashindices batch batchload bc2 bdvac belln benefit_cost bern bernpoly bernstein_approx bernstein_expand bernstein_poly bessel bessel_i bessel_j bessel_k bessel_simplify bessel_y beta beta_incomplete beta_incomplete_generalized beta_incomplete_regularized bezout bfallroots bffac bf_find_root bf_fmin_cobyla bfhzeta bfloat bfloatp bfpsi bfpsi0 bfzeta biconnected_components bimetric binomial bipartition block blockmatrixp bode_gain bode_phase bothcoef box boxplot boxplot_description break bug_report build_info|10 buildq build_sample burn cabs canform canten cardinality carg cartan cartesian_product catch cauchy_matrix cbffac cdf_bernoulli cdf_beta cdf_binomial cdf_cauchy cdf_chi2 cdf_continuous_uniform cdf_discrete_uniform cdf_exp cdf_f cdf_gamma cdf_general_finite_discrete cdf_geometric cdf_gumbel cdf_hypergeometric cdf_laplace cdf_logistic cdf_lognormal cdf_negative_binomial cdf_noncentral_chi2 cdf_noncentral_student_t cdf_normal cdf_pareto cdf_poisson cdf_rank_sum cdf_rayleigh cdf_signed_rank cdf_student_t cdf_weibull cdisplay ceiling central_moment cequal cequalignore cf cfdisrep cfexpand cgeodesic cgreaterp cgreaterpignore changename changevar chaosgame charat charfun charfun2 charlist charp charpoly chdir chebyshev_t chebyshev_u checkdiv check_overlaps chinese cholesky christof chromatic_index chromatic_number cint circulant_graph clear_edge_weight clear_rules clear_vertex_label clebsch_gordan clebsch_graph clessp clesspignore close closefile cmetric coeff coefmatrix cograd col collapse collectterms columnop columnspace columnswap columnvector combination combine comp2pui compare compfile compile compile_file complement_graph complete_bipartite_graph complete_graph complex_number_p components compose_functions concan concat conjugate conmetderiv connected_components connect_vertices cons constant constantp constituent constvalue cont2part content continuous_freq contortion contour_plot contract contract_edge contragrad contrib_ode convert coord copy copy_file copy_graph copylist copymatrix cor cos cosh cot coth cov cov1 covdiff covect covers crc24sum create_graph create_list csc csch csetup cspline ctaylor ct_coordsys ctransform ctranspose cube_graph cuboctahedron_graph cunlisp cv cycle_digraph cycle_graph cylindrical days360 dblint deactivate declare declare_constvalue declare_dimensions declare_fundamental_dimensions declare_fundamental_units declare_qty declare_translated declare_unit_conversion declare_units declare_weights decsym defcon define define_alt_display define_variable defint defmatch defrule defstruct deftaylor degree_sequence del delete deleten delta demo demoivre denom depends derivdegree derivlist describe desolve determinant dfloat dgauss_a dgauss_b dgeev dgemm dgeqrf dgesv dgesvd diag diagmatrix diag_matrix diagmatrixp diameter diff digitcharp dimacs_export dimacs_import dimension dimensionless dimensions dimensions_as_list direct directory discrete_freq disjoin disjointp disolate disp dispcon dispform dispfun dispJordan display disprule dispterms distrib divide divisors divsum dkummer_m dkummer_u dlange dodecahedron_graph dotproduct dotsimp dpart draw draw2d draw3d drawdf draw_file draw_graph dscalar echelon edge_coloring edge_connectivity edges eigens_by_jacobi eigenvalues eigenvectors eighth einstein eivals eivects elapsed_real_time elapsed_run_time ele2comp ele2polynome ele2pui elem elementp elevation_grid elim elim_allbut eliminate eliminate_using ellipse elliptic_e elliptic_ec elliptic_eu elliptic_f elliptic_kc elliptic_pi ematrix empty_graph emptyp endcons entermatrix entertensor entier equal equalp equiv_classes erf erfc erf_generalized erfi errcatch error errormsg errors euler ev eval_string evenp every evolution evolution2d evundiff example exp expand expandwrt expandwrt_factored expint expintegral_chi expintegral_ci expintegral_e expintegral_e1 expintegral_ei expintegral_e_simplify expintegral_li expintegral_shi expintegral_si explicit explose exponentialize express expt exsec extdiff extract_linear_equations extremal_subset ezgcd %f f90 facsum factcomb factor factorfacsum factorial factorout factorsum facts fast_central_elements fast_linsolve fasttimes featurep fernfale fft fib fibtophi fifth filename_merge file_search file_type fillarray findde find_root find_root_abs find_root_error find_root_rel first fix flatten flength float floatnump floor flower_snark flush flush1deriv flushd flushnd flush_output fmin_cobyla forget fortran fourcos fourexpand fourier fourier_elim fourint fourintcos fourintsin foursimp foursin fourth fposition frame_bracket freeof freshline fresnel_c fresnel_s from_adjacency_matrix frucht_graph full_listify fullmap fullmapl fullratsimp fullratsubst fullsetify funcsolve fundamental_dimensions fundamental_units fundef funmake funp fv g0 g1 gamma gamma_greek gamma_incomplete gamma_incomplete_generalized gamma_incomplete_regularized gauss gauss_a gauss_b gaussprob gcd gcdex gcdivide gcfac gcfactor gd generalized_lambert_w genfact gen_laguerre genmatrix gensym geo_amortization geo_annuity_fv geo_annuity_pv geomap geometric geometric_mean geosum get getcurrentdirectory get_edge_weight getenv get_lu_factors get_output_stream_string get_pixel get_plot_option get_tex_environment get_tex_environment_default get_vertex_label gfactor gfactorsum ggf girth global_variances gn gnuplot_close gnuplot_replot gnuplot_reset gnuplot_restart gnuplot_start go Gosper GosperSum gr2d gr3d gradef gramschmidt graph6_decode graph6_encode graph6_export graph6_import graph_center graph_charpoly graph_eigenvalues graph_flow graph_order graph_periphery graph_product graph_size graph_union great_rhombicosidodecahedron_graph great_rhombicuboctahedron_graph grid_graph grind grobner_basis grotzch_graph hamilton_cycle hamilton_path hankel hankel_1 hankel_2 harmonic harmonic_mean hav heawood_graph hermite hessian hgfred hilbertmap hilbert_matrix hipow histogram histogram_description hodge horner hypergeometric i0 i1 %ibes ic1 ic2 ic_convert ichr1 ichr2 icosahedron_graph icosidodecahedron_graph icurvature ident identfor identity idiff idim idummy ieqn %if ifactors iframes ifs igcdex igeodesic_coords ilt image imagpart imetric implicit implicit_derivative implicit_plot indexed_tensor indices induced_subgraph inferencep inference_result infix info_display init_atensor init_ctensor in_neighbors innerproduct inpart inprod inrt integerp integer_partitions integrate intersect intersection intervalp intopois intosum invariant1 invariant2 inverse_fft inverse_jacobi_cd inverse_jacobi_cn inverse_jacobi_cs inverse_jacobi_dc inverse_jacobi_dn inverse_jacobi_ds inverse_jacobi_nc inverse_jacobi_nd inverse_jacobi_ns inverse_jacobi_sc inverse_jacobi_sd inverse_jacobi_sn invert invert_by_adjoint invert_by_lu inv_mod irr is is_biconnected is_bipartite is_connected is_digraph is_edge_in_graph is_graph is_graph_or_digraph ishow is_isomorphic isolate isomorphism is_planar isqrt isreal_p is_sconnected is_tree is_vertex_in_graph items_inference %j j0 j1 jacobi jacobian jacobi_cd jacobi_cn jacobi_cs jacobi_dc jacobi_dn jacobi_ds jacobi_nc jacobi_nd jacobi_ns jacobi_p jacobi_sc jacobi_sd jacobi_sn JF jn join jordan julia julia_set julia_sin %k kdels kdelta kill killcontext kostka kron_delta kronecker_product kummer_m kummer_u kurtosis kurtosis_bernoulli kurtosis_beta kurtosis_binomial kurtosis_chi2 kurtosis_continuous_uniform kurtosis_discrete_uniform kurtosis_exp kurtosis_f kurtosis_gamma kurtosis_general_finite_discrete kurtosis_geometric kurtosis_gumbel kurtosis_hypergeometric kurtosis_laplace kurtosis_logistic kurtosis_lognormal kurtosis_negative_binomial kurtosis_noncentral_chi2 kurtosis_noncentral_student_t kurtosis_normal kurtosis_pareto kurtosis_poisson kurtosis_rayleigh kurtosis_student_t kurtosis_weibull label labels lagrange laguerre lambda lambert_w laplace laplacian_matrix last lbfgs lc2kdt lcharp lc_l lcm lc_u ldefint ldisp ldisplay legendre_p legendre_q leinstein length let letrules letsimp levi_civita lfreeof lgtreillis lhs li liediff limit Lindstedt linear linearinterpol linear_program linear_regression line_graph linsolve listarray list_correlations listify list_matrix_entries list_nc_monomials listoftens listofvars listp lmax lmin load loadfile local locate_matrix_entry log logcontract log_gamma lopow lorentz_gauge lowercasep lpart lratsubst lreduce lriemann lsquares_estimates lsquares_estimates_approximate lsquares_estimates_exact lsquares_mse lsquares_residual_mse lsquares_residuals lsum ltreillis lu_backsub lucas lu_factor %m macroexpand macroexpand1 make_array makebox makefact makegamma make_graph make_level_picture makelist makeOrders make_poly_continent make_poly_country make_polygon make_random_state make_rgb_picture makeset make_string_input_stream make_string_output_stream make_transform mandelbrot mandelbrot_set map mapatom maplist matchdeclare matchfix mat_cond mat_fullunblocker mat_function mathml_display mat_norm matrix matrixmap matrixp matrix_size mattrace mat_trace mat_unblocker max max_clique max_degree max_flow maximize_lp max_independent_set max_matching maybe md5sum mean mean_bernoulli mean_beta mean_binomial mean_chi2 mean_continuous_uniform mean_deviation mean_discrete_uniform mean_exp mean_f mean_gamma mean_general_finite_discrete mean_geometric mean_gumbel mean_hypergeometric mean_laplace mean_logistic mean_lognormal mean_negative_binomial mean_noncentral_chi2 mean_noncentral_student_t mean_normal mean_pareto mean_poisson mean_rayleigh mean_student_t mean_weibull median median_deviation member mesh metricexpandall mgf1_sha1 min min_degree min_edge_cut minfactorial minimalPoly minimize_lp minimum_spanning_tree minor minpack_lsquares minpack_solve min_vertex_cover min_vertex_cut mkdir mnewton mod mode_declare mode_identity ModeMatrix moebius mon2schur mono monomial_dimensions multibernstein_poly multi_display_for_texinfo multi_elem multinomial multinomial_coeff multi_orbit multiplot_mode multi_pui multsym multthru mycielski_graph nary natural_unit nc_degree ncexpt ncharpoly negative_picture neighbors new newcontext newdet new_graph newline newton new_variable next_prime nicedummies niceindices ninth nofix nonarray noncentral_moment nonmetricity nonnegintegerp nonscalarp nonzeroandfreeof notequal nounify nptetrad npv nroots nterms ntermst nthroot nullity nullspace num numbered_boundaries numberp number_to_octets num_distinct_partitions numerval numfactor num_partitions nusum nzeta nzetai nzetar octets_to_number octets_to_oid odd_girth oddp ode2 ode_check odelin oid_to_octets op opena opena_binary openr openr_binary openw openw_binary operatorp opsubst optimize %or orbit orbits ordergreat ordergreatp orderless orderlessp orthogonal_complement orthopoly_recur orthopoly_weight outermap out_neighbors outofpois pade parabolic_cylinder_d parametric parametric_surface parg parGosper parse_string parse_timedate part part2cont partfrac partition partition_set partpol path_digraph path_graph pathname_directory pathname_name pathname_type pdf_bernoulli pdf_beta pdf_binomial pdf_cauchy pdf_chi2 pdf_continuous_uniform pdf_discrete_uniform pdf_exp pdf_f pdf_gamma pdf_general_finite_discrete pdf_geometric pdf_gumbel pdf_hypergeometric pdf_laplace pdf_logistic pdf_lognormal pdf_negative_binomial pdf_noncentral_chi2 pdf_noncentral_student_t pdf_normal pdf_pareto pdf_poisson pdf_rank_sum pdf_rayleigh pdf_signed_rank pdf_student_t pdf_weibull pearson_skewness permanent permut permutation permutations petersen_graph petrov pickapart picture_equalp picturep piechart piechart_description planar_embedding playback plog plot2d plot3d plotdf ploteq plsquares pochhammer points poisdiff poisexpt poisint poismap poisplus poissimp poissubst poistimes poistrim polar polarform polartorect polar_to_xy poly_add poly_buchberger poly_buchberger_criterion poly_colon_ideal poly_content polydecomp poly_depends_p poly_elimination_ideal poly_exact_divide poly_expand poly_expt poly_gcd polygon poly_grobner poly_grobner_equal poly_grobner_member poly_grobner_subsetp poly_ideal_intersection poly_ideal_polysaturation poly_ideal_polysaturation1 poly_ideal_saturation poly_ideal_saturation1 poly_lcm poly_minimization polymod poly_multiply polynome2ele polynomialp poly_normal_form poly_normalize poly_normalize_list poly_polysaturation_extension poly_primitive_part poly_pseudo_divide poly_reduced_grobner poly_reduction poly_saturation_extension poly_s_polynomial poly_subtract polytocompanion pop postfix potential power_mod powerseries powerset prefix prev_prime primep primes principal_components print printf printfile print_graph printpois printprops prodrac product properties propvars psi psubst ptriangularize pui pui2comp pui2ele pui2polynome pui_direct puireduc push put pv qput qrange qty quad_control quad_qag quad_qagi quad_qagp quad_qags quad_qawc quad_qawf quad_qawo quad_qaws quadrilateral quantile quantile_bernoulli quantile_beta quantile_binomial quantile_cauchy quantile_chi2 quantile_continuous_uniform quantile_discrete_uniform quantile_exp quantile_f quantile_gamma quantile_general_finite_discrete quantile_geometric quantile_gumbel quantile_hypergeometric quantile_laplace quantile_logistic quantile_lognormal quantile_negative_binomial quantile_noncentral_chi2 quantile_noncentral_student_t quantile_normal quantile_pareto quantile_poisson quantile_rayleigh quantile_student_t quantile_weibull quartile_skewness quit qunit quotient racah_v racah_w radcan radius random random_bernoulli random_beta random_binomial random_bipartite_graph random_cauchy random_chi2 random_continuous_uniform random_digraph random_discrete_uniform random_exp random_f random_gamma random_general_finite_discrete random_geometric random_graph random_graph1 random_gumbel random_hypergeometric random_laplace random_logistic random_lognormal random_negative_binomial random_network random_noncentral_chi2 random_noncentral_student_t random_normal random_pareto random_permutation random_poisson random_rayleigh random_regular_graph random_student_t random_tournament random_tree random_weibull range rank rat ratcoef ratdenom ratdiff ratdisrep ratexpand ratinterpol rational rationalize ratnumer ratnump ratp ratsimp ratsubst ratvars ratweight read read_array read_binary_array read_binary_list read_binary_matrix readbyte readchar read_hashed_array readline read_list read_matrix read_nested_list readonly read_xpm real_imagpart_to_conjugate realpart realroots rearray rectangle rectform rectform_log_if_constant recttopolar rediff reduce_consts reduce_order region region_boundaries region_boundaries_plus rem remainder remarray rembox remcomps remcon remcoord remfun remfunction remlet remove remove_constvalue remove_dimensions remove_edge remove_fundamental_dimensions remove_fundamental_units remove_plot_option remove_vertex rempart remrule remsym remvalue rename rename_file reset reset_displays residue resolvante resolvante_alternee1 resolvante_bipartite resolvante_diedrale resolvante_klein resolvante_klein3 resolvante_produit_sym resolvante_unitaire resolvante_vierer rest resultant return reveal reverse revert revert2 rgb2level rhs ricci riemann rinvariant risch rk rmdir rncombine romberg room rootscontract round row rowop rowswap rreduce run_testsuite %s save saving scalarp scaled_bessel_i scaled_bessel_i0 scaled_bessel_i1 scalefactors scanmap scatterplot scatterplot_description scene schur2comp sconcat scopy scsimp scurvature sdowncase sec sech second sequal sequalignore set_alt_display setdifference set_draw_defaults set_edge_weight setelmx setequalp setify setp set_partitions set_plot_option set_prompt set_random_state set_tex_environment set_tex_environment_default setunits setup_autoload set_up_dot_simplifications set_vertex_label seventh sexplode sf sha1sum sha256sum shortest_path shortest_weighted_path show showcomps showratvars sierpinskiale sierpinskimap sign signum similaritytransform simp_inequality simplify_sum simplode simpmetderiv simtran sin sinh sinsert sinvertcase sixth skewness skewness_bernoulli skewness_beta skewness_binomial skewness_chi2 skewness_continuous_uniform skewness_discrete_uniform skewness_exp skewness_f skewness_gamma skewness_general_finite_discrete skewness_geometric skewness_gumbel skewness_hypergeometric skewness_laplace skewness_logistic skewness_lognormal skewness_negative_binomial skewness_noncentral_chi2 skewness_noncentral_student_t skewness_normal skewness_pareto skewness_poisson skewness_rayleigh skewness_student_t skewness_weibull slength smake small_rhombicosidodecahedron_graph small_rhombicuboctahedron_graph smax smin smismatch snowmap snub_cube_graph snub_dodecahedron_graph solve solve_rec solve_rec_rat some somrac sort sparse6_decode sparse6_encode sparse6_export sparse6_import specint spherical spherical_bessel_j spherical_bessel_y spherical_hankel1 spherical_hankel2 spherical_harmonic spherical_to_xyz splice split sposition sprint sqfr sqrt sqrtdenest sremove sremovefirst sreverse ssearch ssort sstatus ssubst ssubstfirst staircase standardize standardize_inverse_trig starplot starplot_description status std std1 std_bernoulli std_beta std_binomial std_chi2 std_continuous_uniform std_discrete_uniform std_exp std_f std_gamma std_general_finite_discrete std_geometric std_gumbel std_hypergeometric std_laplace std_logistic std_lognormal std_negative_binomial std_noncentral_chi2 std_noncentral_student_t std_normal std_pareto std_poisson std_rayleigh std_student_t std_weibull stemplot stirling stirling1 stirling2 strim striml strimr string stringout stringp strong_components struve_h struve_l sublis sublist sublist_indices submatrix subsample subset subsetp subst substinpart subst_parallel substpart substring subvar subvarp sum sumcontract summand_to_rec supcase supcontext symbolp symmdifference symmetricp system take_channel take_inference tan tanh taylor taylorinfo taylorp taylor_simplifier taytorat tcl_output tcontract tellrat tellsimp tellsimpafter tentex tenth test_mean test_means_difference test_normality test_proportion test_proportions_difference test_rank_sum test_sign test_signed_rank test_variance test_variance_ratio tex tex1 tex_display texput %th third throw time timedate timer timer_info tldefint tlimit todd_coxeter toeplitz tokens to_lisp topological_sort to_poly to_poly_solve totaldisrep totalfourier totient tpartpol trace tracematrix trace_options transform_sample translate translate_file transpose treefale tree_reduce treillis treinat triangle triangularize trigexpand trigrat trigreduce trigsimp trunc truncate truncated_cube_graph truncated_dodecahedron_graph truncated_icosahedron_graph truncated_tetrahedron_graph tr_warnings_get tube tutte_graph ueivects uforget ultraspherical underlying_graph undiff union unique uniteigenvectors unitp units unit_step unitvector unorder unsum untellrat untimer untrace uppercasep uricci uriemann uvect vandermonde_matrix var var1 var_bernoulli var_beta var_binomial var_chi2 var_continuous_uniform var_discrete_uniform var_exp var_f var_gamma var_general_finite_discrete var_geometric var_gumbel var_hypergeometric var_laplace var_logistic var_lognormal var_negative_binomial var_noncentral_chi2 var_noncentral_student_t var_normal var_pareto var_poisson var_rayleigh var_student_t var_weibull vector vectorpotential vectorsimp verbify vers vertex_coloring vertex_connectivity vertex_degree vertex_distance vertex_eccentricity vertex_in_degree vertex_out_degree vertices vertices_to_cycle vertices_to_path %w weyl wheel_graph wiener_index wigner_3j wigner_6j wigner_9j with_stdout write_binary_data writebyte write_data writefile wronskian xreduce xthru %y Zeilberger zeroequiv zerofor zeromatrix zeromatrixp zeta zgeev zheev zlange zn_add_table zn_carmichael_lambda zn_characteristic_factors zn_determinant zn_factor_generators zn_invert_by_lu zn_log zn_mult_table absboxchar activecontexts adapt_depth additive adim aform algebraic algepsilon algexact aliases allbut all_dotsimp_denoms allocation allsym alphabetic animation antisymmetric arrays askexp assume_pos assume_pos_pred assumescalar asymbol atomgrad atrig1 axes axis_3d axis_bottom axis_left axis_right axis_top azimuth background background_color backsubst berlefact bernstein_explicit besselexpand beta_args_sum_to_integer beta_expand bftorat bftrunc bindtest border boundaries_array box boxchar breakup %c capping cauchysum cbrange cbtics center cflength cframe_flag cnonmet_flag color color_bar color_bar_tics colorbox columns commutative complex cone context contexts contour contour_levels cosnpiflag ctaypov ctaypt ctayswitch ctayvar ct_coords ctorsion_flag ctrgsimp cube current_let_rule_package cylinder data_file_name debugmode decreasing default_let_rule_package delay dependencies derivabbrev derivsubst detout diagmetric diff dim dimensions dispflag display2d|10 display_format_internal distribute_over doallmxops domain domxexpt domxmxops domxnctimes dontfactor doscmxops doscmxplus dot0nscsimp dot0simp dot1simp dotassoc dotconstrules dotdistrib dotexptsimp dotident dotscrules draw_graph_program draw_realpart edge_color edge_coloring edge_partition edge_type edge_width %edispflag elevation %emode endphi endtheta engineering_format_floats enhanced3d %enumer epsilon_lp erfflag erf_representation errormsg error_size error_syms error_type %e_to_numlog eval even evenfun evflag evfun ev_point expandwrt_denom expintexpand expintrep expon expop exptdispflag exptisolate exptsubst facexpand facsum_combine factlim factorflag factorial_expand factors_only fb feature features file_name file_output_append file_search_demo file_search_lisp file_search_maxima|10 file_search_tests file_search_usage file_type_lisp file_type_maxima|10 fill_color fill_density filled_func fixed_vertices flipflag float2bf font font_size fortindent fortspaces fpprec fpprintprec functions gamma_expand gammalim gdet genindex gensumnum GGFCFMAX GGFINFINITY globalsolve gnuplot_command gnuplot_curve_styles gnuplot_curve_titles gnuplot_default_term_command gnuplot_dumb_term_command gnuplot_file_args gnuplot_file_name gnuplot_out_file gnuplot_pdf_term_command gnuplot_pm3d gnuplot_png_term_command gnuplot_postamble gnuplot_preamble gnuplot_ps_term_command gnuplot_svg_term_command gnuplot_term gnuplot_view_args Gosper_in_Zeilberger gradefs grid grid2d grind halfangles head_angle head_both head_length head_type height hypergeometric_representation %iargs ibase icc1 icc2 icounter idummyx ieqnprint ifb ifc1 ifc2 ifg ifgi ifr iframe_bracket_form ifri igeowedge_flag ikt1 ikt2 imaginary inchar increasing infeval infinity inflag infolists inm inmc1 inmc2 intanalysis integer integervalued integrate_use_rootsof integration_constant integration_constant_counter interpolate_color intfaclim ip_grid ip_grid_in irrational isolate_wrt_times iterations itr julia_parameter %k1 %k2 keepfloat key key_pos kinvariant kt label label_alignment label_orientation labels lassociative lbfgs_ncorrections lbfgs_nfeval_max leftjust legend letrat let_rule_packages lfg lg lhospitallim limsubst linear linear_solver linechar linel|10 linenum line_type linewidth line_width linsolve_params linsolvewarn lispdisp listarith listconstvars listdummyvars lmxchar load_pathname loadprint logabs logarc logcb logconcoeffp logexpand lognegint logsimp logx logx_secondary logy logy_secondary logz lriem m1pbranch macroexpansion macros mainvar manual_demo maperror mapprint matrix_element_add matrix_element_mult matrix_element_transpose maxapplydepth maxapplyheight maxima_tempdir|10 maxima_userdir|10 maxnegex MAX_ORD maxposex maxpsifracdenom maxpsifracnum maxpsinegint maxpsiposint maxtayorder mesh_lines_color method mod_big_prime mode_check_errorp mode_checkp mode_check_warnp mod_test mod_threshold modular_linear_solver modulus multiplicative multiplicities myoptions nary negdistrib negsumdispflag newline newtonepsilon newtonmaxiter nextlayerfactor niceindicespref nm nmc noeval nolabels nonegative_lp noninteger nonscalar noun noundisp nouns np npi nticks ntrig numer numer_pbranch obase odd oddfun opacity opproperties opsubst optimprefix optionset orientation origin orthopoly_returns_intervals outative outchar packagefile palette partswitch pdf_file pfeformat phiresolution %piargs piece pivot_count_sx pivot_max_sx plot_format plot_options plot_realpart png_file pochhammer_max_index points pointsize point_size points_joined point_type poislim poisson poly_coefficient_ring poly_elimination_order polyfactor poly_grobner_algorithm poly_grobner_debug poly_monomial_order poly_primary_elimination_order poly_return_term_list poly_secondary_elimination_order poly_top_reduction_only posfun position powerdisp pred prederror primep_number_of_tests product_use_gamma program programmode promote_float_to_bigfloat prompt proportional_axes props psexpand ps_file radexpand radius radsubstflag rassociative ratalgdenom ratchristof ratdenomdivide rateinstein ratepsilon ratfac rational ratmx ratprint ratriemann ratsimpexpons ratvarswitch ratweights ratweyl ratwtlvl real realonly redraw refcheck resolution restart resultant ric riem rmxchar %rnum_list rombergabs rombergit rombergmin rombergtol rootsconmode rootsepsilon run_viewer same_xy same_xyz savedef savefactors scalar scalarmatrixp scale scale_lp setcheck setcheckbreak setval show_edge_color show_edges show_edge_type show_edge_width show_id show_label showtime show_vertex_color show_vertex_size show_vertex_type show_vertices show_weight simp simplified_output simplify_products simpproduct simpsum sinnpiflag solvedecomposes solveexplicit solvefactors solvenullwarn solveradcan solvetrigwarn space sparse sphere spring_embedding_depth sqrtdispflag stardisp startphi starttheta stats_numer stringdisp structures style sublis_apply_lambda subnumsimp sumexpand sumsplitfact surface surface_hide svg_file symmetric tab taylordepth taylor_logexpand taylor_order_coefficients taylor_truncate_polynomials tensorkill terminal testsuite_files thetaresolution timer_devalue title tlimswitch tr track transcompile transform transform_xy translate_fast_arrays transparent transrun tr_array_as_ref tr_bound_function_applyp tr_file_tty_messagesp tr_float_can_branch_complex tr_function_call_default trigexpandplus trigexpandtimes triginverses trigsign trivial_solutions tr_numer tr_optimize_max_loop tr_semicompile tr_state_vars tr_warn_bad_function_calls tr_warn_fexpr tr_warn_meval tr_warn_mode tr_warn_undeclared tr_warn_undefined_variable tstep ttyoff tube_extremes ufg ug %unitexpand unit_vectors uric uriem use_fast_arrays user_preamble usersetunits values vect_cross verbose vertex_color vertex_coloring vertex_partition vertex_size vertex_type view warnings weyl width windowname windowtitle wired_surface wireframe xaxis xaxis_color xaxis_secondary xaxis_type xaxis_width xlabel xlabel_secondary xlength xrange xrange_secondary xtics xtics_axis xtics_rotate xtics_rotate_secondary xtics_secondary xtics_secondary_axis xu_grid x_voxel xy_file xyplane xy_scale yaxis yaxis_color yaxis_secondary yaxis_type yaxis_width ylabel ylabel_secondary ylength yrange yrange_secondary ytics ytics_axis ytics_rotate ytics_rotate_secondary ytics_secondary ytics_secondary_axis yv_grid y_voxel yx_ratio zaxis zaxis_color zaxis_type zaxis_width zeroa zerob zerobern zeta%pi zlabel zlabel_rotate zlength zmin zn_primroot_limit zn_primroot_pretest";
        const SYMBOLS = "_ __ %|0 %%|0";
        return {
          name: "Maxima",
          keywords: {
            $pattern: "[A-Za-z_%][0-9A-Za-z_%]*",
            keyword: KEYWORDS,
            literal: LITERALS,
            built_in: BUILTIN_FUNCTIONS,
            symbol: SYMBOLS
          },
          contains: [
            {
              className: "comment",
              begin: "/\\*",
              end: "\\*/",
              contains: ["self"]
            },
            hljs.QUOTE_STRING_MODE,
            {
              className: "number",
              relevance: 0,
              variants: [
                {
                  // float number w/ exponent
                  // hmm, I wonder if we ought to include other exponent markers?
                  begin: "\\b(\\d+|\\d+\\.|\\.\\d+|\\d+\\.\\d+)[Ee][-+]?\\d+\\b"
                },
                {
                  // bigfloat number
                  begin: "\\b(\\d+|\\d+\\.|\\.\\d+|\\d+\\.\\d+)[Bb][-+]?\\d+\\b",
                  relevance: 10
                },
                {
                  // float number w/out exponent
                  // Doesn't seem to recognize floats which start with '.'
                  begin: "\\b(\\.\\d+|\\d+\\.\\d+)\\b"
                },
                {
                  // integer in base up to 36
                  // Doesn't seem to recognize integers which end with '.'
                  begin: "\\b(\\d+|0[0-9A-Za-z]+)\\.?\\b"
                }
              ]
            }
          ],
          illegal: /@/
        };
      }
      module.exports = maxima;
    }
  });

  // node_modules/highlight.js/lib/languages/mel.js
  var require_mel = __commonJS({
    "node_modules/highlight.js/lib/languages/mel.js"(exports, module) {
      function mel(hljs) {
        return {
          name: "MEL",
          keywords: "int float string vector matrix if else switch case default while do for in break continue global proc return about abs addAttr addAttributeEditorNodeHelp addDynamic addNewShelfTab addPP addPanelCategory addPrefixToName advanceToNextDrivenKey affectedNet affects aimConstraint air alias aliasAttr align alignCtx alignCurve alignSurface allViewFit ambientLight angle angleBetween animCone animCurveEditor animDisplay animView annotate appendStringArray applicationName applyAttrPreset applyTake arcLenDimContext arcLengthDimension arclen arrayMapper art3dPaintCtx artAttrCtx artAttrPaintVertexCtx artAttrSkinPaintCtx artAttrTool artBuildPaintMenu artFluidAttrCtx artPuttyCtx artSelectCtx artSetPaintCtx artUserPaintCtx assignCommand assignInputDevice assignViewportFactories attachCurve attachDeviceAttr attachSurface attrColorSliderGrp attrCompatibility attrControlGrp attrEnumOptionMenu attrEnumOptionMenuGrp attrFieldGrp attrFieldSliderGrp attrNavigationControlGrp attrPresetEditWin attributeExists attributeInfo attributeMenu attributeQuery autoKeyframe autoPlace bakeClip bakeFluidShading bakePartialHistory bakeResults bakeSimulation basename basenameEx batchRender bessel bevel bevelPlus binMembership bindSkin blend2 blendShape blendShapeEditor blendShapePanel blendTwoAttr blindDataType boneLattice boundary boxDollyCtx boxZoomCtx bufferCurve buildBookmarkMenu buildKeyframeMenu button buttonManip CBG cacheFile cacheFileCombine cacheFileMerge cacheFileTrack camera cameraView canCreateManip canvas capitalizeString catch catchQuiet ceil changeSubdivComponentDisplayLevel changeSubdivRegion channelBox character characterMap characterOutlineEditor characterize chdir checkBox checkBoxGrp checkDefaultRenderGlobals choice circle circularFillet clamp clear clearCache clip clipEditor clipEditorCurrentTimeCtx clipSchedule clipSchedulerOutliner clipTrimBefore closeCurve closeSurface cluster cmdFileOutput cmdScrollFieldExecuter cmdScrollFieldReporter cmdShell coarsenSubdivSelectionList collision color colorAtPoint colorEditor colorIndex colorIndexSliderGrp colorSliderButtonGrp colorSliderGrp columnLayout commandEcho commandLine commandPort compactHairSystem componentEditor compositingInterop computePolysetVolume condition cone confirmDialog connectAttr connectControl connectDynamic connectJoint connectionInfo constrain constrainValue constructionHistory container containsMultibyte contextInfo control convertFromOldLayers convertIffToPsd convertLightmap convertSolidTx convertTessellation convertUnit copyArray copyFlexor copyKey copySkinWeights cos cpButton cpCache cpClothSet cpCollision cpConstraint cpConvClothToMesh cpForces cpGetSolverAttr cpPanel cpProperty cpRigidCollisionFilter cpSeam cpSetEdit cpSetSolverAttr cpSolver cpSolverTypes cpTool cpUpdateClothUVs createDisplayLayer createDrawCtx createEditor createLayeredPsdFile createMotionField createNewShelf createNode createRenderLayer createSubdivRegion cross crossProduct ctxAbort ctxCompletion ctxEditMode ctxTraverse currentCtx currentTime currentTimeCtx currentUnit curve curveAddPtCtx curveCVCtx curveEPCtx curveEditorCtx curveIntersect curveMoveEPCtx curveOnSurface curveSketchCtx cutKey cycleCheck cylinder dagPose date defaultLightListCheckBox defaultNavigation defineDataServer defineVirtualDevice deformer deg_to_rad delete deleteAttr deleteShadingGroupsAndMaterials deleteShelfTab deleteUI deleteUnusedBrushes delrandstr detachCurve detachDeviceAttr detachSurface deviceEditor devicePanel dgInfo dgdirty dgeval dgtimer dimWhen directKeyCtx directionalLight dirmap dirname disable disconnectAttr disconnectJoint diskCache displacementToPoly displayAffected displayColor displayCull displayLevelOfDetail displayPref displayRGBColor displaySmoothness displayStats displayString displaySurface distanceDimContext distanceDimension doBlur dolly dollyCtx dopeSheetEditor dot dotProduct doubleProfileBirailSurface drag dragAttrContext draggerContext dropoffLocator duplicate duplicateCurve duplicateSurface dynCache dynControl dynExport dynExpression dynGlobals dynPaintEditor dynParticleCtx dynPref dynRelEdPanel dynRelEditor dynamicLoad editAttrLimits editDisplayLayerGlobals editDisplayLayerMembers editRenderLayerAdjustment editRenderLayerGlobals editRenderLayerMembers editor editorTemplate effector emit emitter enableDevice encodeString endString endsWith env equivalent equivalentTol erf error eval evalDeferred evalEcho event exactWorldBoundingBox exclusiveLightCheckBox exec executeForEachObject exists exp expression expressionEditorListen extendCurve extendSurface extrude fcheck fclose feof fflush fgetline fgetword file fileBrowserDialog fileDialog fileExtension fileInfo filetest filletCurve filter filterCurve filterExpand filterStudioImport findAllIntersections findAnimCurves findKeyframe findMenuItem findRelatedSkinCluster finder firstParentOf fitBspline flexor floatEq floatField floatFieldGrp floatScrollBar floatSlider floatSlider2 floatSliderButtonGrp floatSliderGrp floor flow fluidCacheInfo fluidEmitter fluidVoxelInfo flushUndo fmod fontDialog fopen formLayout format fprint frameLayout fread freeFormFillet frewind fromNativePath fwrite gamma gauss geometryConstraint getApplicationVersionAsFloat getAttr getClassification getDefaultBrush getFileList getFluidAttr getInputDeviceRange getMayaPanelTypes getModifiers getPanel getParticleAttr getPluginResource getenv getpid glRender glRenderEditor globalStitch gmatch goal gotoBindPose grabColor gradientControl gradientControlNoAttr graphDollyCtx graphSelectContext graphTrackCtx gravity grid gridLayout group groupObjectsByName HfAddAttractorToAS HfAssignAS HfBuildEqualMap HfBuildFurFiles HfBuildFurImages HfCancelAFR HfConnectASToHF HfCreateAttractor HfDeleteAS HfEditAS HfPerformCreateAS HfRemoveAttractorFromAS HfSelectAttached HfSelectAttractors HfUnAssignAS hardenPointCurve hardware hardwareRenderPanel headsUpDisplay headsUpMessage help helpLine hermite hide hilite hitTest hotBox hotkey hotkeyCheck hsv_to_rgb hudButton hudSlider hudSliderButton hwReflectionMap hwRender hwRenderLoad hyperGraph hyperPanel hyperShade hypot iconTextButton iconTextCheckBox iconTextRadioButton iconTextRadioCollection iconTextScrollList iconTextStaticLabel ikHandle ikHandleCtx ikHandleDisplayScale ikSolver ikSplineHandleCtx ikSystem ikSystemInfo ikfkDisplayMethod illustratorCurves image imfPlugins inheritTransform insertJoint insertJointCtx insertKeyCtx insertKnotCurve insertKnotSurface instance instanceable instancer intField intFieldGrp intScrollBar intSlider intSliderGrp interToUI internalVar intersect iprEngine isAnimCurve isConnected isDirty isParentOf isSameObject isTrue isValidObjectName isValidString isValidUiName isolateSelect itemFilter itemFilterAttr itemFilterRender itemFilterType joint jointCluster jointCtx jointDisplayScale jointLattice keyTangent keyframe keyframeOutliner keyframeRegionCurrentTimeCtx keyframeRegionDirectKeyCtx keyframeRegionDollyCtx keyframeRegionInsertKeyCtx keyframeRegionMoveKeyCtx keyframeRegionScaleKeyCtx keyframeRegionSelectKeyCtx keyframeRegionSetKeyCtx keyframeRegionTrackCtx keyframeStats lassoContext lattice latticeDeformKeyCtx launch launchImageEditor layerButton layeredShaderPort layeredTexturePort layout layoutDialog lightList lightListEditor lightListPanel lightlink lineIntersection linearPrecision linstep listAnimatable listAttr listCameras listConnections listDeviceAttachments listHistory listInputDeviceAxes listInputDeviceButtons listInputDevices listMenuAnnotation listNodeTypes listPanelCategories listRelatives listSets listTransforms listUnselected listerEditor loadFluid loadNewShelf loadPlugin loadPluginLanguageResources loadPrefObjects localizedPanelLabel lockNode loft log longNameOf lookThru ls lsThroughFilter lsType lsUI Mayatomr mag makeIdentity makeLive makePaintable makeRoll makeSingleSurface makeTubeOn makebot manipMoveContext manipMoveLimitsCtx manipOptions manipRotateContext manipRotateLimitsCtx manipScaleContext manipScaleLimitsCtx marker match max memory menu menuBarLayout menuEditor menuItem menuItemToShelf menuSet menuSetPref messageLine min minimizeApp mirrorJoint modelCurrentTimeCtx modelEditor modelPanel mouse movIn movOut move moveIKtoFK moveKeyCtx moveVertexAlongDirection multiProfileBirailSurface mute nParticle nameCommand nameField namespace namespaceInfo newPanelItems newton nodeCast nodeIconButton nodeOutliner nodePreset nodeType noise nonLinear normalConstraint normalize nurbsBoolean nurbsCopyUVSet nurbsCube nurbsEditUV nurbsPlane nurbsSelect nurbsSquare nurbsToPoly nurbsToPolygonsPref nurbsToSubdiv nurbsToSubdivPref nurbsUVSet nurbsViewDirectionVector objExists objectCenter objectLayer objectType objectTypeUI obsoleteProc oceanNurbsPreviewPlane offsetCurve offsetCurveOnSurface offsetSurface openGLExtension openMayaPref optionMenu optionMenuGrp optionVar orbit orbitCtx orientConstraint outlinerEditor outlinerPanel overrideModifier paintEffectsDisplay pairBlend palettePort paneLayout panel panelConfiguration panelHistory paramDimContext paramDimension paramLocator parent parentConstraint particle particleExists particleInstancer particleRenderInfo partition pasteKey pathAnimation pause pclose percent performanceOptions pfxstrokes pickWalk picture pixelMove planarSrf plane play playbackOptions playblast plugAttr plugNode pluginInfo pluginResourceUtil pointConstraint pointCurveConstraint pointLight pointMatrixMult pointOnCurve pointOnSurface pointPosition poleVectorConstraint polyAppend polyAppendFacetCtx polyAppendVertex polyAutoProjection polyAverageNormal polyAverageVertex polyBevel polyBlendColor polyBlindData polyBoolOp polyBridgeEdge polyCacheMonitor polyCheck polyChipOff polyClipboard polyCloseBorder polyCollapseEdge polyCollapseFacet polyColorBlindData polyColorDel polyColorPerVertex polyColorSet polyCompare polyCone polyCopyUV polyCrease polyCreaseCtx polyCreateFacet polyCreateFacetCtx polyCube polyCut polyCutCtx polyCylinder polyCylindricalProjection polyDelEdge polyDelFacet polyDelVertex polyDuplicateAndConnect polyDuplicateEdge polyEditUV polyEditUVShell polyEvaluate polyExtrudeEdge polyExtrudeFacet polyExtrudeVertex polyFlipEdge polyFlipUV polyForceUV polyGeoSampler polyHelix polyInfo polyInstallAction polyLayoutUV polyListComponentConversion polyMapCut polyMapDel polyMapSew polyMapSewMove polyMergeEdge polyMergeEdgeCtx polyMergeFacet polyMergeFacetCtx polyMergeUV polyMergeVertex polyMirrorFace polyMoveEdge polyMoveFacet polyMoveFacetUV polyMoveUV polyMoveVertex polyNormal polyNormalPerVertex polyNormalizeUV polyOptUvs polyOptions polyOutput polyPipe polyPlanarProjection polyPlane polyPlatonicSolid polyPoke polyPrimitive polyPrism polyProjection polyPyramid polyQuad polyQueryBlindData polyReduce polySelect polySelectConstraint polySelectConstraintMonitor polySelectCtx polySelectEditCtx polySeparate polySetToFaceNormal polySewEdge polyShortestPathCtx polySmooth polySoftEdge polySphere polySphericalProjection polySplit polySplitCtx polySplitEdge polySplitRing polySplitVertex polyStraightenUVBorder polySubdivideEdge polySubdivideFacet polyToSubdiv polyTorus polyTransfer polyTriangulate polyUVSet polyUnite polyWedgeFace popen popupMenu pose pow preloadRefEd print progressBar progressWindow projFileViewer projectCurve projectTangent projectionContext projectionManip promptDialog propModCtx propMove psdChannelOutliner psdEditTextureFile psdExport psdTextureFile putenv pwd python querySubdiv quit rad_to_deg radial radioButton radioButtonGrp radioCollection radioMenuItemCollection rampColorPort rand randomizeFollicles randstate rangeControl readTake rebuildCurve rebuildSurface recordAttr recordDevice redo reference referenceEdit referenceQuery refineSubdivSelectionList refresh refreshAE registerPluginResource rehash reloadImage removeJoint removeMultiInstance removePanelCategory rename renameAttr renameSelectionList renameUI render renderGlobalsNode renderInfo renderLayerButton renderLayerParent renderLayerPostProcess renderLayerUnparent renderManip renderPartition renderQualityNode renderSettings renderThumbnailUpdate renderWindowEditor renderWindowSelectContext renderer reorder reorderDeformers requires reroot resampleFluid resetAE resetPfxToPolyCamera resetTool resolutionNode retarget reverseCurve reverseSurface revolve rgb_to_hsv rigidBody rigidSolver roll rollCtx rootOf rot rotate rotationInterpolation roundConstantRadius rowColumnLayout rowLayout runTimeCommand runup sampleImage saveAllShelves saveAttrPreset saveFluid saveImage saveInitialState saveMenu savePrefObjects savePrefs saveShelf saveToolSettings scale scaleBrushBrightness scaleComponents scaleConstraint scaleKey scaleKeyCtx sceneEditor sceneUIReplacement scmh scriptCtx scriptEditorInfo scriptJob scriptNode scriptTable scriptToShelf scriptedPanel scriptedPanelType scrollField scrollLayout sculpt searchPathArray seed selLoadSettings select selectContext selectCurveCV selectKey selectKeyCtx selectKeyframeRegionCtx selectMode selectPref selectPriority selectType selectedNodes selectionConnection separator setAttr setAttrEnumResource setAttrMapping setAttrNiceNameResource setConstraintRestPosition setDefaultShadingGroup setDrivenKeyframe setDynamic setEditCtx setEditor setFluidAttr setFocus setInfinity setInputDeviceMapping setKeyCtx setKeyPath setKeyframe setKeyframeBlendshapeTargetWts setMenuMode setNodeNiceNameResource setNodeTypeFlag setParent setParticleAttr setPfxToPolyCamera setPluginResource setProject setStampDensity setStartupMessage setState setToolTo setUITemplate setXformManip sets shadingConnection shadingGeometryRelCtx shadingLightRelCtx shadingNetworkCompare shadingNode shapeCompare shelfButton shelfLayout shelfTabLayout shellField shortNameOf showHelp showHidden showManipCtx showSelectionInTitle showShadingGroupAttrEditor showWindow sign simplify sin singleProfileBirailSurface size sizeBytes skinCluster skinPercent smoothCurve smoothTangentSurface smoothstep snap2to2 snapKey snapMode snapTogetherCtx snapshot soft softMod softModCtx sort sound soundControl source spaceLocator sphere sphrand spotLight spotLightPreviewPort spreadSheetEditor spring sqrt squareSurface srtContext stackTrace startString startsWith stitchAndExplodeShell stitchSurface stitchSurfacePoints strcmp stringArrayCatenate stringArrayContains stringArrayCount stringArrayInsertAtIndex stringArrayIntersector stringArrayRemove stringArrayRemoveAtIndex stringArrayRemoveDuplicates stringArrayRemoveExact stringArrayToString stringToStringArray strip stripPrefixFromName stroke subdAutoProjection subdCleanTopology subdCollapse subdDuplicateAndConnect subdEditUV subdListComponentConversion subdMapCut subdMapSewMove subdMatchTopology subdMirror subdToBlind subdToPoly subdTransferUVsToCache subdiv subdivCrease subdivDisplaySmoothness substitute substituteAllString substituteGeometry substring surface surfaceSampler surfaceShaderList swatchDisplayPort switchTable symbolButton symbolCheckBox sysFile system tabLayout tan tangentConstraint texLatticeDeformContext texManipContext texMoveContext texMoveUVShellContext texRotateContext texScaleContext texSelectContext texSelectShortestPathCtx texSmudgeUVContext texWinToolCtx text textCurves textField textFieldButtonGrp textFieldGrp textManip textScrollList textToShelf textureDisplacePlane textureHairColor texturePlacementContext textureWindow threadCount threePointArcCtx timeControl timePort timerX toNativePath toggle toggleAxis toggleWindowVisibility tokenize tokenizeList tolerance tolower toolButton toolCollection toolDropped toolHasOptions toolPropertyWindow torus toupper trace track trackCtx transferAttributes transformCompare transformLimits translator trim trunc truncateFluidCache truncateHairCache tumble tumbleCtx turbulence twoPointArcCtx uiRes uiTemplate unassignInputDevice undo undoInfo ungroup uniform unit unloadPlugin untangleUV untitledFileName untrim upAxis updateAE userCtx uvLink uvSnapshot validateShelfName vectorize view2dToolCtx viewCamera viewClipPlane viewFit viewHeadOn viewLookAt viewManip viewPlace viewSet visor volumeAxis vortex waitCursor warning webBrowser webBrowserPrefs whatIs window windowPref wire wireContext workspace wrinkle wrinkleContext writeTake xbmLangPathList xform",
          illegal: "</",
          contains: [
            hljs.C_NUMBER_MODE,
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            {
              className: "string",
              begin: "`",
              end: "`",
              contains: [hljs.BACKSLASH_ESCAPE]
            },
            {
              // eats variables
              begin: /[$%@](\^\w\b|#\w+|[^\s\w{]|\{\w+\}|\w+)/
            },
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE
          ]
        };
      }
      module.exports = mel;
    }
  });

  // node_modules/highlight.js/lib/languages/mercury.js
  var require_mercury = __commonJS({
    "node_modules/highlight.js/lib/languages/mercury.js"(exports, module) {
      function mercury(hljs) {
        const KEYWORDS = {
          keyword: "module use_module import_module include_module end_module initialise mutable initialize finalize finalise interface implementation pred mode func type inst solver any_pred any_func is semidet det nondet multi erroneous failure cc_nondet cc_multi typeclass instance where pragma promise external trace atomic or_else require_complete_switch require_det require_semidet require_multi require_nondet require_cc_multi require_cc_nondet require_erroneous require_failure",
          meta: (
            // pragma
            "inline no_inline type_spec source_file fact_table obsolete memo loop_check minimal_model terminates does_not_terminate check_termination promise_equivalent_clauses foreign_proc foreign_decl foreign_code foreign_type foreign_import_module foreign_export_enum foreign_export foreign_enum may_call_mercury will_not_call_mercury thread_safe not_thread_safe maybe_thread_safe promise_pure promise_semipure tabled_for_io local untrailed trailed attach_to_io_state can_pass_as_mercury_type stable will_not_throw_exception may_modify_trail will_not_modify_trail may_duplicate may_not_duplicate affects_liveness does_not_affect_liveness doesnt_affect_liveness no_sharing unknown_sharing sharing"
          ),
          built_in: "some all not if then else true fail false try catch catch_any semidet_true semidet_false semidet_fail impure_true impure semipure"
        };
        const COMMENT = hljs.COMMENT("%", "$");
        const NUMCODE = {
          className: "number",
          begin: "0'.\\|0[box][0-9a-fA-F]*"
        };
        const ATOM = hljs.inherit(hljs.APOS_STRING_MODE, { relevance: 0 });
        const STRING = hljs.inherit(hljs.QUOTE_STRING_MODE, { relevance: 0 });
        const STRING_FMT = {
          className: "subst",
          begin: "\\\\[abfnrtv]\\|\\\\x[0-9a-fA-F]*\\\\\\|%[-+# *.0-9]*[dioxXucsfeEgGp]",
          relevance: 0
        };
        STRING.contains = STRING.contains.slice();
        STRING.contains.push(STRING_FMT);
        const IMPLICATION = {
          className: "built_in",
          variants: [
            { begin: "<=>" },
            {
              begin: "<=",
              relevance: 0
            },
            {
              begin: "=>",
              relevance: 0
            },
            { begin: "/\\\\" },
            { begin: "\\\\/" }
          ]
        };
        const HEAD_BODY_CONJUNCTION = {
          className: "built_in",
          variants: [
            { begin: ":-\\|-->" },
            {
              begin: "=",
              relevance: 0
            }
          ]
        };
        return {
          name: "Mercury",
          aliases: [
            "m",
            "moo"
          ],
          keywords: KEYWORDS,
          contains: [
            IMPLICATION,
            HEAD_BODY_CONJUNCTION,
            COMMENT,
            hljs.C_BLOCK_COMMENT_MODE,
            NUMCODE,
            hljs.NUMBER_MODE,
            ATOM,
            STRING,
            {
              // relevance booster
              begin: /:-/
            },
            {
              // relevance booster
              begin: /\.$/
            }
          ]
        };
      }
      module.exports = mercury;
    }
  });

  // node_modules/highlight.js/lib/languages/mipsasm.js
  var require_mipsasm = __commonJS({
    "node_modules/highlight.js/lib/languages/mipsasm.js"(exports, module) {
      function mipsasm(hljs) {
        return {
          name: "MIPS Assembly",
          case_insensitive: true,
          aliases: ["mips"],
          keywords: {
            $pattern: "\\.?" + hljs.IDENT_RE,
            meta: (
              // GNU preprocs
              ".2byte .4byte .align .ascii .asciz .balign .byte .code .data .else .end .endif .endm .endr .equ .err .exitm .extern .global .hword .if .ifdef .ifndef .include .irp .long .macro .rept .req .section .set .skip .space .text .word .ltorg "
            ),
            built_in: "$0 $1 $2 $3 $4 $5 $6 $7 $8 $9 $10 $11 $12 $13 $14 $15 $16 $17 $18 $19 $20 $21 $22 $23 $24 $25 $26 $27 $28 $29 $30 $31 zero at v0 v1 a0 a1 a2 a3 a4 a5 a6 a7 t0 t1 t2 t3 t4 t5 t6 t7 t8 t9 s0 s1 s2 s3 s4 s5 s6 s7 s8 k0 k1 gp sp fp ra $f0 $f1 $f2 $f2 $f4 $f5 $f6 $f7 $f8 $f9 $f10 $f11 $f12 $f13 $f14 $f15 $f16 $f17 $f18 $f19 $f20 $f21 $f22 $f23 $f24 $f25 $f26 $f27 $f28 $f29 $f30 $f31 Context Random EntryLo0 EntryLo1 Context PageMask Wired EntryHi HWREna BadVAddr Count Compare SR IntCtl SRSCtl SRSMap Cause EPC PRId EBase Config Config1 Config2 Config3 LLAddr Debug DEPC DESAVE CacheErr ECC ErrorEPC TagLo DataLo TagHi DataHi WatchLo WatchHi PerfCtl PerfCnt "
            // Coprocessor 0 registers
          },
          contains: [
            {
              className: "keyword",
              begin: "\\b(addi?u?|andi?|b(al)?|beql?|bgez(al)?l?|bgtzl?|blezl?|bltz(al)?l?|bnel?|cl[oz]|divu?|ext|ins|j(al)?|jalr(\\.hb)?|jr(\\.hb)?|lbu?|lhu?|ll|lui|lw[lr]?|maddu?|mfhi|mflo|movn|movz|move|msubu?|mthi|mtlo|mul|multu?|nop|nor|ori?|rotrv?|sb|sc|se[bh]|sh|sllv?|slti?u?|srav?|srlv?|subu?|sw[lr]?|xori?|wsbh|abs\\.[sd]|add\\.[sd]|alnv.ps|bc1[ft]l?|c\\.(s?f|un|u?eq|[ou]lt|[ou]le|ngle?|seq|l[et]|ng[et])\\.[sd]|(ceil|floor|round|trunc)\\.[lw]\\.[sd]|cfc1|cvt\\.d\\.[lsw]|cvt\\.l\\.[dsw]|cvt\\.ps\\.s|cvt\\.s\\.[dlw]|cvt\\.s\\.p[lu]|cvt\\.w\\.[dls]|div\\.[ds]|ldx?c1|luxc1|lwx?c1|madd\\.[sd]|mfc1|mov[fntz]?\\.[ds]|msub\\.[sd]|mth?c1|mul\\.[ds]|neg\\.[ds]|nmadd\\.[ds]|nmsub\\.[ds]|p[lu][lu]\\.ps|recip\\.fmt|r?sqrt\\.[ds]|sdx?c1|sub\\.[ds]|suxc1|swx?c1|break|cache|d?eret|[de]i|ehb|mfc0|mtc0|pause|prefx?|rdhwr|rdpgpr|sdbbp|ssnop|synci?|syscall|teqi?|tgei?u?|tlb(p|r|w[ir])|tlti?u?|tnei?|wait|wrpgpr)",
              end: "\\s"
            },
            // lines ending with ; or # aren't really comments, probably auto-detect fail
            hljs.COMMENT("[;#](?!\\s*$)", "$"),
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.QUOTE_STRING_MODE,
            {
              className: "string",
              begin: "'",
              end: "[^\\\\]'",
              relevance: 0
            },
            {
              className: "title",
              begin: "\\|",
              end: "\\|",
              illegal: "\\n",
              relevance: 0
            },
            {
              className: "number",
              variants: [
                {
                  // hex
                  begin: "0x[0-9a-f]+"
                },
                {
                  // bare number
                  begin: "\\b-?\\d+"
                }
              ],
              relevance: 0
            },
            {
              className: "symbol",
              variants: [
                {
                  // GNU MIPS syntax
                  begin: "^\\s*[a-z_\\.\\$][a-z0-9_\\.\\$]+:"
                },
                {
                  // numbered local labels
                  begin: "^\\s*[0-9]+:"
                },
                {
                  // number local label reference (backwards, forwards)
                  begin: "[0-9]+[bf]"
                }
              ],
              relevance: 0
            }
          ],
          // forward slashes are not allowed
          illegal: /\//
        };
      }
      module.exports = mipsasm;
    }
  });

  // node_modules/highlight.js/lib/languages/mizar.js
  var require_mizar = __commonJS({
    "node_modules/highlight.js/lib/languages/mizar.js"(exports, module) {
      function mizar(hljs) {
        return {
          name: "Mizar",
          keywords: "environ vocabularies notations constructors definitions registrations theorems schemes requirements begin end definition registration cluster existence pred func defpred deffunc theorem proof let take assume then thus hence ex for st holds consider reconsider such that and in provided of as from be being by means equals implies iff redefine define now not or attr is mode suppose per cases set thesis contradiction scheme reserve struct correctness compatibility coherence symmetry assymetry reflexivity irreflexivity connectedness uniqueness commutativity idempotence involutiveness projectivity",
          contains: [hljs.COMMENT("::", "$")]
        };
      }
      module.exports = mizar;
    }
  });

  // node_modules/highlight.js/lib/languages/perl.js
  var require_perl = __commonJS({
    "node_modules/highlight.js/lib/languages/perl.js"(exports, module) {
      function perl(hljs) {
        const regex = hljs.regex;
        const KEYWORDS = [
          "abs",
          "accept",
          "alarm",
          "and",
          "atan2",
          "bind",
          "binmode",
          "bless",
          "break",
          "caller",
          "chdir",
          "chmod",
          "chomp",
          "chop",
          "chown",
          "chr",
          "chroot",
          "class",
          "close",
          "closedir",
          "connect",
          "continue",
          "cos",
          "crypt",
          "dbmclose",
          "dbmopen",
          "defined",
          "delete",
          "die",
          "do",
          "dump",
          "each",
          "else",
          "elsif",
          "endgrent",
          "endhostent",
          "endnetent",
          "endprotoent",
          "endpwent",
          "endservent",
          "eof",
          "eval",
          "exec",
          "exists",
          "exit",
          "exp",
          "fcntl",
          "field",
          "fileno",
          "flock",
          "for",
          "foreach",
          "fork",
          "format",
          "formline",
          "getc",
          "getgrent",
          "getgrgid",
          "getgrnam",
          "gethostbyaddr",
          "gethostbyname",
          "gethostent",
          "getlogin",
          "getnetbyaddr",
          "getnetbyname",
          "getnetent",
          "getpeername",
          "getpgrp",
          "getpriority",
          "getprotobyname",
          "getprotobynumber",
          "getprotoent",
          "getpwent",
          "getpwnam",
          "getpwuid",
          "getservbyname",
          "getservbyport",
          "getservent",
          "getsockname",
          "getsockopt",
          "given",
          "glob",
          "gmtime",
          "goto",
          "grep",
          "gt",
          "hex",
          "if",
          "index",
          "int",
          "ioctl",
          "join",
          "keys",
          "kill",
          "last",
          "lc",
          "lcfirst",
          "length",
          "link",
          "listen",
          "local",
          "localtime",
          "log",
          "lstat",
          "lt",
          "ma",
          "map",
          "method",
          "mkdir",
          "msgctl",
          "msgget",
          "msgrcv",
          "msgsnd",
          "my",
          "ne",
          "next",
          "no",
          "not",
          "oct",
          "open",
          "opendir",
          "or",
          "ord",
          "our",
          "pack",
          "package",
          "pipe",
          "pop",
          "pos",
          "print",
          "printf",
          "prototype",
          "push",
          "q|0",
          "qq",
          "quotemeta",
          "qw",
          "qx",
          "rand",
          "read",
          "readdir",
          "readline",
          "readlink",
          "readpipe",
          "recv",
          "redo",
          "ref",
          "rename",
          "require",
          "reset",
          "return",
          "reverse",
          "rewinddir",
          "rindex",
          "rmdir",
          "say",
          "scalar",
          "seek",
          "seekdir",
          "select",
          "semctl",
          "semget",
          "semop",
          "send",
          "setgrent",
          "sethostent",
          "setnetent",
          "setpgrp",
          "setpriority",
          "setprotoent",
          "setpwent",
          "setservent",
          "setsockopt",
          "shift",
          "shmctl",
          "shmget",
          "shmread",
          "shmwrite",
          "shutdown",
          "sin",
          "sleep",
          "socket",
          "socketpair",
          "sort",
          "splice",
          "split",
          "sprintf",
          "sqrt",
          "srand",
          "stat",
          "state",
          "study",
          "sub",
          "substr",
          "symlink",
          "syscall",
          "sysopen",
          "sysread",
          "sysseek",
          "system",
          "syswrite",
          "tell",
          "telldir",
          "tie",
          "tied",
          "time",
          "times",
          "tr",
          "truncate",
          "uc",
          "ucfirst",
          "umask",
          "undef",
          "unless",
          "unlink",
          "unpack",
          "unshift",
          "untie",
          "until",
          "use",
          "utime",
          "values",
          "vec",
          "wait",
          "waitpid",
          "wantarray",
          "warn",
          "when",
          "while",
          "write",
          "x|0",
          "xor",
          "y|0"
        ];
        const REGEX_MODIFIERS = /[dualxmsipngr]{0,12}/;
        const PERL_KEYWORDS = {
          $pattern: /[\w.]+/,
          keyword: KEYWORDS.join(" ")
        };
        const SUBST = {
          className: "subst",
          begin: "[$@]\\{",
          end: "\\}",
          keywords: PERL_KEYWORDS
        };
        const METHOD = {
          begin: /->\{/,
          end: /\}/
          // contains defined later
        };
        const ATTR = {
          scope: "attr",
          match: /\s+:\s*\w+(\s*\(.*?\))?/
        };
        const VAR = {
          scope: "variable",
          variants: [
            { begin: /\$\d/ },
            {
              begin: regex.concat(
                /[$%@](?!")(\^\w\b|#\w+(::\w+)*|\{\w+\}|\w+(::\w*)*)/,
                // negative look-ahead tries to avoid matching patterns that are not
                // Perl at all like $ident$, @ident@, etc.
                `(?![A-Za-z])(?![@$%])`
              )
            },
            {
              // Only $= is a special Perl variable and one can't declare @= or %=.
              begin: /[$%@](?!")[^\s\w{=]|\$=/,
              relevance: 0
            }
          ],
          contains: [ATTR]
        };
        const NUMBER = {
          className: "number",
          variants: [
            // decimal numbers:
            // include the case where a number starts with a dot (eg. .9), and
            // the leading 0? avoids mixing the first and second match on 0.x cases
            { match: /0?\.[0-9][0-9_]+\b/ },
            // include the special versioned number (eg. v5.38)
            { match: /\bv?(0|[1-9][0-9_]*(\.[0-9_]+)?|[1-9][0-9_]*)\b/ },
            // non-decimal numbers:
            { match: /\b0[0-7][0-7_]*\b/ },
            { match: /\b0x[0-9a-fA-F][0-9a-fA-F_]*\b/ },
            { match: /\b0b[0-1][0-1_]*\b/ }
          ],
          relevance: 0
        };
        const STRING_CONTAINS = [
          hljs.BACKSLASH_ESCAPE,
          SUBST,
          VAR
        ];
        const REGEX_DELIMS = [
          /!/,
          /\//,
          /\|/,
          /\?/,
          /'/,
          /"/,
          // valid but infrequent and weird
          /#/
          // valid but infrequent and weird
        ];
        const PAIRED_DOUBLE_RE = (prefix, open, close = "\\1") => {
          const middle = close === "\\1" ? close : regex.concat(close, open);
          return regex.concat(
            regex.concat("(?:", prefix, ")"),
            open,
            /(?:\\.|[^\\\/])*?/,
            middle,
            /(?:\\.|[^\\\/])*?/,
            close,
            REGEX_MODIFIERS
          );
        };
        const PAIRED_RE = (prefix, open, close) => {
          return regex.concat(
            regex.concat("(?:", prefix, ")"),
            open,
            /(?:\\.|[^\\\/])*?/,
            close,
            REGEX_MODIFIERS
          );
        };
        const PERL_DEFAULT_CONTAINS = [
          VAR,
          hljs.HASH_COMMENT_MODE,
          hljs.COMMENT(
            /^=\w/,
            /=cut/,
            { endsWithParent: true }
          ),
          METHOD,
          {
            className: "string",
            contains: STRING_CONTAINS,
            variants: [
              {
                begin: "q[qwxr]?\\s*\\(",
                end: "\\)",
                relevance: 5
              },
              {
                begin: "q[qwxr]?\\s*\\[",
                end: "\\]",
                relevance: 5
              },
              {
                begin: "q[qwxr]?\\s*\\{",
                end: "\\}",
                relevance: 5
              },
              {
                begin: "q[qwxr]?\\s*\\|",
                end: "\\|",
                relevance: 5
              },
              {
                begin: "q[qwxr]?\\s*<",
                end: ">",
                relevance: 5
              },
              {
                begin: "qw\\s+q",
                end: "q",
                relevance: 5
              },
              {
                begin: "'",
                end: "'",
                contains: [hljs.BACKSLASH_ESCAPE]
              },
              {
                begin: '"',
                end: '"'
              },
              {
                begin: "`",
                end: "`",
                contains: [hljs.BACKSLASH_ESCAPE]
              },
              {
                begin: /\{\w+\}/,
                relevance: 0
              },
              {
                begin: "-?\\w+\\s*=>",
                relevance: 0
              }
            ]
          },
          NUMBER,
          {
            // regexp container
            begin: "(\\/\\/|" + hljs.RE_STARTERS_RE + "|\\b(split|return|print|reverse|grep)\\b)\\s*",
            keywords: "split return print reverse grep",
            relevance: 0,
            contains: [
              hljs.HASH_COMMENT_MODE,
              {
                className: "regexp",
                variants: [
                  // allow matching common delimiters
                  { begin: PAIRED_DOUBLE_RE("s|tr|y", regex.either(...REGEX_DELIMS, { capture: true })) },
                  // and then paired delmis
                  { begin: PAIRED_DOUBLE_RE("s|tr|y", "\\(", "\\)") },
                  { begin: PAIRED_DOUBLE_RE("s|tr|y", "\\[", "\\]") },
                  { begin: PAIRED_DOUBLE_RE("s|tr|y", "\\{", "\\}") }
                ],
                relevance: 2
              },
              {
                className: "regexp",
                variants: [
                  {
                    // could be a comment in many languages so do not count
                    // as relevant
                    begin: /(m|qr)\/\//,
                    relevance: 0
                  },
                  // prefix is optional with /regex/
                  { begin: PAIRED_RE("(?:m|qr)?", /\//, /\//) },
                  // allow matching common delimiters
                  { begin: PAIRED_RE("m|qr", regex.either(...REGEX_DELIMS, { capture: true }), /\1/) },
                  // allow common paired delmins
                  { begin: PAIRED_RE("m|qr", /\(/, /\)/) },
                  { begin: PAIRED_RE("m|qr", /\[/, /\]/) },
                  { begin: PAIRED_RE("m|qr", /\{/, /\}/) }
                ]
              }
            ]
          },
          {
            className: "function",
            beginKeywords: "sub method",
            end: "(\\s*\\(.*?\\))?[;{]",
            excludeEnd: true,
            relevance: 5,
            contains: [hljs.TITLE_MODE, ATTR]
          },
          {
            className: "class",
            beginKeywords: "class",
            end: "[;{]",
            excludeEnd: true,
            relevance: 5,
            contains: [hljs.TITLE_MODE, ATTR, NUMBER]
          },
          {
            begin: "-\\w\\b",
            relevance: 0
          },
          {
            begin: "^__DATA__$",
            end: "^__END__$",
            subLanguage: "mojolicious",
            contains: [
              {
                begin: "^@@.*",
                end: "$",
                className: "comment"
              }
            ]
          }
        ];
        SUBST.contains = PERL_DEFAULT_CONTAINS;
        METHOD.contains = PERL_DEFAULT_CONTAINS;
        return {
          name: "Perl",
          aliases: [
            "pl",
            "pm"
          ],
          keywords: PERL_KEYWORDS,
          contains: PERL_DEFAULT_CONTAINS
        };
      }
      module.exports = perl;
    }
  });

  // node_modules/highlight.js/lib/languages/mojolicious.js
  var require_mojolicious = __commonJS({
    "node_modules/highlight.js/lib/languages/mojolicious.js"(exports, module) {
      function mojolicious(hljs) {
        return {
          name: "Mojolicious",
          subLanguage: "xml",
          contains: [
            {
              className: "meta",
              begin: "^__(END|DATA)__$"
            },
            // mojolicious line
            {
              begin: "^\\s*%{1,2}={0,2}",
              end: "$",
              subLanguage: "perl"
            },
            // mojolicious block
            {
              begin: "<%{1,2}={0,2}",
              end: "={0,1}%>",
              subLanguage: "perl",
              excludeBegin: true,
              excludeEnd: true
            }
          ]
        };
      }
      module.exports = mojolicious;
    }
  });

  // node_modules/highlight.js/lib/languages/monkey.js
  var require_monkey = __commonJS({
    "node_modules/highlight.js/lib/languages/monkey.js"(exports, module) {
      function monkey(hljs) {
        const NUMBER = {
          className: "number",
          relevance: 0,
          variants: [
            { begin: "[$][a-fA-F0-9]+" },
            hljs.NUMBER_MODE
          ]
        };
        const FUNC_DEFINITION = {
          variants: [
            { match: [
              /(function|method)/,
              /\s+/,
              hljs.UNDERSCORE_IDENT_RE
            ] }
          ],
          scope: {
            1: "keyword",
            3: "title.function"
          }
        };
        const CLASS_DEFINITION = {
          variants: [
            { match: [
              /(class|interface|extends|implements)/,
              /\s+/,
              hljs.UNDERSCORE_IDENT_RE
            ] }
          ],
          scope: {
            1: "keyword",
            3: "title.class"
          }
        };
        const BUILT_INS = [
          "DebugLog",
          "DebugStop",
          "Error",
          "Print",
          "ACos",
          "ACosr",
          "ASin",
          "ASinr",
          "ATan",
          "ATan2",
          "ATan2r",
          "ATanr",
          "Abs",
          "Abs",
          "Ceil",
          "Clamp",
          "Clamp",
          "Cos",
          "Cosr",
          "Exp",
          "Floor",
          "Log",
          "Max",
          "Max",
          "Min",
          "Min",
          "Pow",
          "Sgn",
          "Sgn",
          "Sin",
          "Sinr",
          "Sqrt",
          "Tan",
          "Tanr",
          "Seed",
          "PI",
          "HALFPI",
          "TWOPI"
        ];
        const LITERALS = [
          "true",
          "false",
          "null"
        ];
        const KEYWORDS = [
          "public",
          "private",
          "property",
          "continue",
          "exit",
          "extern",
          "new",
          "try",
          "catch",
          "eachin",
          "not",
          "abstract",
          "final",
          "select",
          "case",
          "default",
          "const",
          "local",
          "global",
          "field",
          "end",
          "if",
          "then",
          "else",
          "elseif",
          "endif",
          "while",
          "wend",
          "repeat",
          "until",
          "forever",
          "for",
          "to",
          "step",
          "next",
          "return",
          "module",
          "inline",
          "throw",
          "import",
          // not positive, but these are not literals
          "and",
          "or",
          "shl",
          "shr",
          "mod"
        ];
        return {
          name: "Monkey",
          case_insensitive: true,
          keywords: {
            keyword: KEYWORDS,
            built_in: BUILT_INS,
            literal: LITERALS
          },
          illegal: /\/\*/,
          contains: [
            hljs.COMMENT("#rem", "#end"),
            hljs.COMMENT(
              "'",
              "$",
              { relevance: 0 }
            ),
            FUNC_DEFINITION,
            CLASS_DEFINITION,
            {
              className: "variable.language",
              begin: /\b(self|super)\b/
            },
            {
              className: "meta",
              begin: /\s*#/,
              end: "$",
              keywords: { keyword: "if else elseif endif end then" }
            },
            {
              match: [
                /^\s*/,
                /strict\b/
              ],
              scope: { 2: "meta" }
            },
            {
              beginKeywords: "alias",
              end: "=",
              contains: [hljs.UNDERSCORE_TITLE_MODE]
            },
            hljs.QUOTE_STRING_MODE,
            NUMBER
          ]
        };
      }
      module.exports = monkey;
    }
  });

  // node_modules/highlight.js/lib/languages/moonscript.js
  var require_moonscript = __commonJS({
    "node_modules/highlight.js/lib/languages/moonscript.js"(exports, module) {
      function moonscript(hljs) {
        const KEYWORDS = {
          keyword: (
            // Moonscript keywords
            "if then not for in while do return else elseif break continue switch and or unless when class extends super local import export from using"
          ),
          literal: "true false nil",
          built_in: "_G _VERSION assert collectgarbage dofile error getfenv getmetatable ipairs load loadfile loadstring module next pairs pcall print rawequal rawget rawset require select setfenv setmetatable tonumber tostring type unpack xpcall coroutine debug io math os package string table"
        };
        const JS_IDENT_RE = "[A-Za-z$_][0-9A-Za-z$_]*";
        const SUBST = {
          className: "subst",
          begin: /#\{/,
          end: /\}/,
          keywords: KEYWORDS
        };
        const EXPRESSIONS2 = [
          hljs.inherit(
            hljs.C_NUMBER_MODE,
            { starts: {
              end: "(\\s*/)?",
              relevance: 0
            } }
          ),
          // a number tries to eat the following slash to prevent treating it as a regexp
          {
            className: "string",
            variants: [
              {
                begin: /'/,
                end: /'/,
                contains: [hljs.BACKSLASH_ESCAPE]
              },
              {
                begin: /"/,
                end: /"/,
                contains: [
                  hljs.BACKSLASH_ESCAPE,
                  SUBST
                ]
              }
            ]
          },
          {
            className: "built_in",
            begin: "@__" + hljs.IDENT_RE
          },
          {
            begin: "@" + hljs.IDENT_RE
            // relevance booster on par with CoffeeScript
          },
          {
            begin: hljs.IDENT_RE + "\\\\" + hljs.IDENT_RE
            // inst\method
          }
        ];
        SUBST.contains = EXPRESSIONS2;
        const TITLE = hljs.inherit(hljs.TITLE_MODE, { begin: JS_IDENT_RE });
        const POSSIBLE_PARAMS_RE = "(\\(.*\\)\\s*)?\\B[-=]>";
        const PARAMS = {
          className: "params",
          begin: "\\([^\\(]",
          returnBegin: true,
          /* We need another contained nameless mode to not have every nested
          pair of parens to be called "params" */
          contains: [
            {
              begin: /\(/,
              end: /\)/,
              keywords: KEYWORDS,
              contains: ["self"].concat(EXPRESSIONS2)
            }
          ]
        };
        return {
          name: "MoonScript",
          aliases: ["moon"],
          keywords: KEYWORDS,
          illegal: /\/\*/,
          contains: EXPRESSIONS2.concat([
            hljs.COMMENT("--", "$"),
            {
              className: "function",
              // function: -> =>
              begin: "^\\s*" + JS_IDENT_RE + "\\s*=\\s*" + POSSIBLE_PARAMS_RE,
              end: "[-=]>",
              returnBegin: true,
              contains: [
                TITLE,
                PARAMS
              ]
            },
            {
              begin: /[\(,:=]\s*/,
              // anonymous function start
              relevance: 0,
              contains: [
                {
                  className: "function",
                  begin: POSSIBLE_PARAMS_RE,
                  end: "[-=]>",
                  returnBegin: true,
                  contains: [PARAMS]
                }
              ]
            },
            {
              className: "class",
              beginKeywords: "class",
              end: "$",
              illegal: /[:="\[\]]/,
              contains: [
                {
                  beginKeywords: "extends",
                  endsWithParent: true,
                  illegal: /[:="\[\]]/,
                  contains: [TITLE]
                },
                TITLE
              ]
            },
            {
              className: "name",
              // table
              begin: JS_IDENT_RE + ":",
              end: ":",
              returnBegin: true,
              returnEnd: true,
              relevance: 0
            }
          ])
        };
      }
      module.exports = moonscript;
    }
  });

  // node_modules/highlight.js/lib/languages/n1ql.js
  var require_n1ql = __commonJS({
    "node_modules/highlight.js/lib/languages/n1ql.js"(exports, module) {
      function n1ql(hljs) {
        const KEYWORDS = [
          "all",
          "alter",
          "analyze",
          "and",
          "any",
          "array",
          "as",
          "asc",
          "begin",
          "between",
          "binary",
          "boolean",
          "break",
          "bucket",
          "build",
          "by",
          "call",
          "case",
          "cast",
          "cluster",
          "collate",
          "collection",
          "commit",
          "connect",
          "continue",
          "correlate",
          "cover",
          "create",
          "database",
          "dataset",
          "datastore",
          "declare",
          "decrement",
          "delete",
          "derived",
          "desc",
          "describe",
          "distinct",
          "do",
          "drop",
          "each",
          "element",
          "else",
          "end",
          "every",
          "except",
          "exclude",
          "execute",
          "exists",
          "explain",
          "fetch",
          "first",
          "flatten",
          "for",
          "force",
          "from",
          "function",
          "grant",
          "group",
          "gsi",
          "having",
          "if",
          "ignore",
          "ilike",
          "in",
          "include",
          "increment",
          "index",
          "infer",
          "inline",
          "inner",
          "insert",
          "intersect",
          "into",
          "is",
          "join",
          "key",
          "keys",
          "keyspace",
          "known",
          "last",
          "left",
          "let",
          "letting",
          "like",
          "limit",
          "lsm",
          "map",
          "mapping",
          "matched",
          "materialized",
          "merge",
          "minus",
          "namespace",
          "nest",
          "not",
          "number",
          "object",
          "offset",
          "on",
          "option",
          "or",
          "order",
          "outer",
          "over",
          "parse",
          "partition",
          "password",
          "path",
          "pool",
          "prepare",
          "primary",
          "private",
          "privilege",
          "procedure",
          "public",
          "raw",
          "realm",
          "reduce",
          "rename",
          "return",
          "returning",
          "revoke",
          "right",
          "role",
          "rollback",
          "satisfies",
          "schema",
          "select",
          "self",
          "semi",
          "set",
          "show",
          "some",
          "start",
          "statistics",
          "string",
          "system",
          "then",
          "to",
          "transaction",
          "trigger",
          "truncate",
          "under",
          "union",
          "unique",
          "unknown",
          "unnest",
          "unset",
          "update",
          "upsert",
          "use",
          "user",
          "using",
          "validate",
          "value",
          "valued",
          "values",
          "via",
          "view",
          "when",
          "where",
          "while",
          "with",
          "within",
          "work",
          "xor"
        ];
        const LITERALS = [
          "true",
          "false",
          "null",
          "missing|5"
        ];
        const BUILT_INS = [
          "array_agg",
          "array_append",
          "array_concat",
          "array_contains",
          "array_count",
          "array_distinct",
          "array_ifnull",
          "array_length",
          "array_max",
          "array_min",
          "array_position",
          "array_prepend",
          "array_put",
          "array_range",
          "array_remove",
          "array_repeat",
          "array_replace",
          "array_reverse",
          "array_sort",
          "array_sum",
          "avg",
          "count",
          "max",
          "min",
          "sum",
          "greatest",
          "least",
          "ifmissing",
          "ifmissingornull",
          "ifnull",
          "missingif",
          "nullif",
          "ifinf",
          "ifnan",
          "ifnanorinf",
          "naninf",
          "neginfif",
          "posinfif",
          "clock_millis",
          "clock_str",
          "date_add_millis",
          "date_add_str",
          "date_diff_millis",
          "date_diff_str",
          "date_part_millis",
          "date_part_str",
          "date_trunc_millis",
          "date_trunc_str",
          "duration_to_str",
          "millis",
          "str_to_millis",
          "millis_to_str",
          "millis_to_utc",
          "millis_to_zone_name",
          "now_millis",
          "now_str",
          "str_to_duration",
          "str_to_utc",
          "str_to_zone_name",
          "decode_json",
          "encode_json",
          "encoded_size",
          "poly_length",
          "base64",
          "base64_encode",
          "base64_decode",
          "meta",
          "uuid",
          "abs",
          "acos",
          "asin",
          "atan",
          "atan2",
          "ceil",
          "cos",
          "degrees",
          "e",
          "exp",
          "ln",
          "log",
          "floor",
          "pi",
          "power",
          "radians",
          "random",
          "round",
          "sign",
          "sin",
          "sqrt",
          "tan",
          "trunc",
          "object_length",
          "object_names",
          "object_pairs",
          "object_inner_pairs",
          "object_values",
          "object_inner_values",
          "object_add",
          "object_put",
          "object_remove",
          "object_unwrap",
          "regexp_contains",
          "regexp_like",
          "regexp_position",
          "regexp_replace",
          "contains",
          "initcap",
          "length",
          "lower",
          "ltrim",
          "position",
          "repeat",
          "replace",
          "rtrim",
          "split",
          "substr",
          "title",
          "trim",
          "upper",
          "isarray",
          "isatom",
          "isboolean",
          "isnumber",
          "isobject",
          "isstring",
          "type",
          "toarray",
          "toatom",
          "toboolean",
          "tonumber",
          "toobject",
          "tostring"
        ];
        return {
          name: "N1QL",
          case_insensitive: true,
          contains: [
            {
              beginKeywords: "build create index delete drop explain infer|10 insert merge prepare select update upsert|10",
              end: /;/,
              keywords: {
                keyword: KEYWORDS,
                literal: LITERALS,
                built_in: BUILT_INS
              },
              contains: [
                {
                  className: "string",
                  begin: "'",
                  end: "'",
                  contains: [hljs.BACKSLASH_ESCAPE]
                },
                {
                  className: "string",
                  begin: '"',
                  end: '"',
                  contains: [hljs.BACKSLASH_ESCAPE]
                },
                {
                  className: "symbol",
                  begin: "`",
                  end: "`",
                  contains: [hljs.BACKSLASH_ESCAPE]
                },
                hljs.C_NUMBER_MODE,
                hljs.C_BLOCK_COMMENT_MODE
              ]
            },
            hljs.C_BLOCK_COMMENT_MODE
          ]
        };
      }
      module.exports = n1ql;
    }
  });

  // node_modules/highlight.js/lib/languages/nestedtext.js
  var require_nestedtext = __commonJS({
    "node_modules/highlight.js/lib/languages/nestedtext.js"(exports, module) {
      function nestedtext(hljs) {
        const NESTED = {
          match: [
            /^\s*(?=\S)/,
            // have to look forward here to avoid polynomial backtracking
            /[^:]+/,
            /:\s*/,
            /$/
          ],
          className: {
            2: "attribute",
            3: "punctuation"
          }
        };
        const DICTIONARY_ITEM = {
          match: [
            /^\s*(?=\S)/,
            // have to look forward here to avoid polynomial backtracking
            /[^:]*[^: ]/,
            /[ ]*:/,
            /[ ]/,
            /.*$/
          ],
          className: {
            2: "attribute",
            3: "punctuation",
            5: "string"
          }
        };
        const STRING = {
          match: [
            /^\s*/,
            />/,
            /[ ]/,
            /.*$/
          ],
          className: {
            2: "punctuation",
            4: "string"
          }
        };
        const LIST_ITEM = {
          variants: [
            { match: [
              /^\s*/,
              /-/,
              /[ ]/,
              /.*$/
            ] },
            { match: [
              /^\s*/,
              /-$/
            ] }
          ],
          className: {
            2: "bullet",
            4: "string"
          }
        };
        return {
          name: "Nested Text",
          aliases: ["nt"],
          contains: [
            hljs.inherit(hljs.HASH_COMMENT_MODE, {
              begin: /^\s*(?=#)/,
              excludeBegin: true
            }),
            LIST_ITEM,
            STRING,
            NESTED,
            DICTIONARY_ITEM
          ]
        };
      }
      module.exports = nestedtext;
    }
  });

  // node_modules/highlight.js/lib/languages/nginx.js
  var require_nginx = __commonJS({
    "node_modules/highlight.js/lib/languages/nginx.js"(exports, module) {
      function nginx(hljs) {
        const regex = hljs.regex;
        const VAR = {
          className: "variable",
          variants: [
            { begin: /\$\d+/ },
            { begin: /\$\{\w+\}/ },
            { begin: regex.concat(/[$@]/, hljs.UNDERSCORE_IDENT_RE) }
          ]
        };
        const LITERALS = [
          "on",
          "off",
          "yes",
          "no",
          "true",
          "false",
          "none",
          "blocked",
          "debug",
          "info",
          "notice",
          "warn",
          "error",
          "crit",
          "select",
          "break",
          "last",
          "permanent",
          "redirect",
          "kqueue",
          "rtsig",
          "epoll",
          "poll",
          "/dev/poll"
        ];
        const DEFAULT = {
          endsWithParent: true,
          keywords: {
            $pattern: /[a-z_]{2,}|\/dev\/poll/,
            literal: LITERALS
          },
          relevance: 0,
          illegal: "=>",
          contains: [
            hljs.HASH_COMMENT_MODE,
            {
              className: "string",
              contains: [
                hljs.BACKSLASH_ESCAPE,
                VAR
              ],
              variants: [
                {
                  begin: /"/,
                  end: /"/
                },
                {
                  begin: /'/,
                  end: /'/
                }
              ]
            },
            // this swallows entire URLs to avoid detecting numbers within
            {
              begin: "([a-z]+):/",
              end: "\\s",
              endsWithParent: true,
              excludeEnd: true,
              contains: [VAR]
            },
            {
              className: "regexp",
              contains: [
                hljs.BACKSLASH_ESCAPE,
                VAR
              ],
              variants: [
                {
                  begin: "\\s\\^",
                  end: "\\s|\\{|;",
                  returnEnd: true
                },
                // regexp locations (~, ~*)
                {
                  begin: "~\\*?\\s+",
                  end: "\\s|\\{|;",
                  returnEnd: true
                },
                // *.example.com
                { begin: "\\*(\\.[a-z\\-]+)+" },
                // sub.example.*
                { begin: "([a-z\\-]+\\.)+\\*" }
              ]
            },
            // IP
            {
              className: "number",
              begin: "\\b\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}\\.\\d{1,3}(:\\d{1,5})?\\b"
            },
            // units
            {
              className: "number",
              begin: "\\b\\d+[kKmMgGdshdwy]?\\b",
              relevance: 0
            },
            VAR
          ]
        };
        return {
          name: "Nginx config",
          aliases: ["nginxconf"],
          contains: [
            hljs.HASH_COMMENT_MODE,
            {
              beginKeywords: "upstream location",
              end: /;|\{/,
              contains: DEFAULT.contains,
              keywords: { section: "upstream location" }
            },
            {
              className: "section",
              begin: regex.concat(hljs.UNDERSCORE_IDENT_RE + regex.lookahead(/\s+\{/)),
              relevance: 0
            },
            {
              begin: regex.lookahead(hljs.UNDERSCORE_IDENT_RE + "\\s"),
              end: ";|\\{",
              contains: [
                {
                  className: "attribute",
                  begin: hljs.UNDERSCORE_IDENT_RE,
                  starts: DEFAULT
                }
              ],
              relevance: 0
            }
          ],
          illegal: "[^\\s\\}\\{]"
        };
      }
      module.exports = nginx;
    }
  });

  // node_modules/highlight.js/lib/languages/nim.js
  var require_nim = __commonJS({
    "node_modules/highlight.js/lib/languages/nim.js"(exports, module) {
      function nim(hljs) {
        const TYPES = [
          "int",
          "int8",
          "int16",
          "int32",
          "int64",
          "uint",
          "uint8",
          "uint16",
          "uint32",
          "uint64",
          "float",
          "float32",
          "float64",
          "bool",
          "char",
          "string",
          "cstring",
          "pointer",
          "expr",
          "stmt",
          "void",
          "auto",
          "any",
          "range",
          "array",
          "openarray",
          "varargs",
          "seq",
          "set",
          "clong",
          "culong",
          "cchar",
          "cschar",
          "cshort",
          "cint",
          "csize",
          "clonglong",
          "cfloat",
          "cdouble",
          "clongdouble",
          "cuchar",
          "cushort",
          "cuint",
          "culonglong",
          "cstringarray",
          "semistatic"
        ];
        const KEYWORDS = [
          "addr",
          "and",
          "as",
          "asm",
          "bind",
          "block",
          "break",
          "case",
          "cast",
          "concept",
          "const",
          "continue",
          "converter",
          "defer",
          "discard",
          "distinct",
          "div",
          "do",
          "elif",
          "else",
          "end",
          "enum",
          "except",
          "export",
          "finally",
          "for",
          "from",
          "func",
          "generic",
          "guarded",
          "if",
          "import",
          "in",
          "include",
          "interface",
          "is",
          "isnot",
          "iterator",
          "let",
          "macro",
          "method",
          "mixin",
          "mod",
          "nil",
          "not",
          "notin",
          "object",
          "of",
          "or",
          "out",
          "proc",
          "ptr",
          "raise",
          "ref",
          "return",
          "shared",
          "shl",
          "shr",
          "static",
          "template",
          "try",
          "tuple",
          "type",
          "using",
          "var",
          "when",
          "while",
          "with",
          "without",
          "xor",
          "yield"
        ];
        const BUILT_INS = [
          "stdin",
          "stdout",
          "stderr",
          "result"
        ];
        const LITERALS = [
          "true",
          "false"
        ];
        return {
          name: "Nim",
          keywords: {
            keyword: KEYWORDS,
            literal: LITERALS,
            type: TYPES,
            built_in: BUILT_INS
          },
          contains: [
            {
              className: "meta",
              // Actually pragma
              begin: /\{\./,
              end: /\.\}/,
              relevance: 10
            },
            {
              className: "string",
              begin: /[a-zA-Z]\w*"/,
              end: /"/,
              contains: [{ begin: /""/ }]
            },
            {
              className: "string",
              begin: /([a-zA-Z]\w*)?"""/,
              end: /"""/
            },
            hljs.QUOTE_STRING_MODE,
            {
              className: "type",
              begin: /\b[A-Z]\w+\b/,
              relevance: 0
            },
            {
              className: "number",
              relevance: 0,
              variants: [
                { begin: /\b(0[xX][0-9a-fA-F][_0-9a-fA-F]*)('?[iIuU](8|16|32|64))?/ },
                { begin: /\b(0o[0-7][_0-7]*)('?[iIuUfF](8|16|32|64))?/ },
                { begin: /\b(0(b|B)[01][_01]*)('?[iIuUfF](8|16|32|64))?/ },
                { begin: /\b(\d[_\d]*)('?[iIuUfF](8|16|32|64))?/ }
              ]
            },
            hljs.HASH_COMMENT_MODE
          ]
        };
      }
      module.exports = nim;
    }
  });

  // node_modules/highlight.js/lib/languages/nix.js
  var require_nix = __commonJS({
    "node_modules/highlight.js/lib/languages/nix.js"(exports, module) {
      function nix(hljs) {
        const regex = hljs.regex;
        const KEYWORDS = {
          keyword: [
            "assert",
            "else",
            "if",
            "in",
            "inherit",
            "let",
            "or",
            "rec",
            "then",
            "with"
          ],
          literal: [
            "true",
            "false",
            "null"
          ],
          built_in: [
            // toplevel builtins
            "abort",
            "baseNameOf",
            "builtins",
            "derivation",
            "derivationStrict",
            "dirOf",
            "fetchGit",
            "fetchMercurial",
            "fetchTarball",
            "fetchTree",
            "fromTOML",
            "import",
            "isNull",
            "map",
            "placeholder",
            "removeAttrs",
            "scopedImport",
            "throw",
            "toString"
          ]
        };
        const BUILTINS = {
          scope: "built_in",
          match: regex.either(...[
            "abort",
            "add",
            "addDrvOutputDependencies",
            "addErrorContext",
            "all",
            "any",
            "appendContext",
            "attrNames",
            "attrValues",
            "baseNameOf",
            "bitAnd",
            "bitOr",
            "bitXor",
            "break",
            "builtins",
            "catAttrs",
            "ceil",
            "compareVersions",
            "concatLists",
            "concatMap",
            "concatStringsSep",
            "convertHash",
            "currentSystem",
            "currentTime",
            "deepSeq",
            "derivation",
            "derivationStrict",
            "dirOf",
            "div",
            "elem",
            "elemAt",
            "false",
            "fetchGit",
            "fetchMercurial",
            "fetchTarball",
            "fetchTree",
            "fetchurl",
            "filter",
            "filterSource",
            "findFile",
            "flakeRefToString",
            "floor",
            "foldl'",
            "fromJSON",
            "fromTOML",
            "functionArgs",
            "genList",
            "genericClosure",
            "getAttr",
            "getContext",
            "getEnv",
            "getFlake",
            "groupBy",
            "hasAttr",
            "hasContext",
            "hashFile",
            "hashString",
            "head",
            "import",
            "intersectAttrs",
            "isAttrs",
            "isBool",
            "isFloat",
            "isFunction",
            "isInt",
            "isList",
            "isNull",
            "isPath",
            "isString",
            "langVersion",
            "length",
            "lessThan",
            "listToAttrs",
            "map",
            "mapAttrs",
            "match",
            "mul",
            "nixPath",
            "nixVersion",
            "null",
            "parseDrvName",
            "parseFlakeRef",
            "partition",
            "path",
            "pathExists",
            "placeholder",
            "readDir",
            "readFile",
            "readFileType",
            "removeAttrs",
            "replaceStrings",
            "scopedImport",
            "seq",
            "sort",
            "split",
            "splitVersion",
            "storeDir",
            "storePath",
            "stringLength",
            "sub",
            "substring",
            "tail",
            "throw",
            "toFile",
            "toJSON",
            "toPath",
            "toString",
            "toXML",
            "trace",
            "traceVerbose",
            "true",
            "tryEval",
            "typeOf",
            "unsafeDiscardOutputDependency",
            "unsafeDiscardStringContext",
            "unsafeGetAttrPos",
            "warn",
            "zipAttrsWith"
          ].map((b2) => `builtins\\.${b2}`)),
          relevance: 10
        };
        const IDENTIFIER_REGEX = "[A-Za-z_][A-Za-z0-9_'-]*";
        const LOOKUP_PATH = {
          scope: "symbol",
          match: new RegExp(`<${IDENTIFIER_REGEX}(/${IDENTIFIER_REGEX})*>`)
        };
        const PATH_PIECE = "[A-Za-z0-9_\\+\\.-]+";
        const PATH = {
          scope: "symbol",
          match: new RegExp(`(\\.\\.|\\.|~)?/(${PATH_PIECE})?(/${PATH_PIECE})*(?=[\\s;])`)
        };
        const OPERATOR_WITHOUT_MINUS_REGEX = regex.either(...[
          "==",
          "=",
          "\\+\\+",
          "\\+",
          "<=",
          "<\\|",
          "<",
          ">=",
          ">",
          "->",
          "//",
          "/",
          "!=",
          "!",
          "\\|\\|",
          "\\|>",
          "\\?",
          "\\*",
          "&&"
        ]);
        const OPERATOR = {
          scope: "operator",
          match: regex.concat(OPERATOR_WITHOUT_MINUS_REGEX, /(?!-)/),
          relevance: 0
        };
        const NUMBER = {
          scope: "number",
          match: new RegExp(`${hljs.NUMBER_RE}(?!-)`),
          relevance: 0
        };
        const MINUS_OPERATOR = {
          variants: [
            {
              scope: "operator",
              beforeMatch: /\s/,
              // The (?!>) is used to ensure this doesn't collide with the '->' operator
              begin: /-(?!>)/
            },
            {
              begin: [
                new RegExp(`${hljs.NUMBER_RE}`),
                /-/,
                /(?!>)/
              ],
              beginScope: {
                1: "number",
                2: "operator"
              }
            },
            {
              begin: [
                OPERATOR_WITHOUT_MINUS_REGEX,
                /-/,
                /(?!>)/
              ],
              beginScope: {
                1: "operator",
                2: "operator"
              }
            }
          ],
          relevance: 0
        };
        const ATTRS = {
          beforeMatch: /(^|\{|;)\s*/,
          begin: new RegExp(`${IDENTIFIER_REGEX}(\\.${IDENTIFIER_REGEX})*\\s*=(?!=)`),
          returnBegin: true,
          relevance: 0,
          contains: [
            {
              scope: "attr",
              match: new RegExp(`${IDENTIFIER_REGEX}(\\.${IDENTIFIER_REGEX})*(?=\\s*=)`),
              relevance: 0.2
            }
          ]
        };
        const NORMAL_ESCAPED_DOLLAR = {
          scope: "char.escape",
          match: /\\\$/
        };
        const INDENTED_ESCAPED_DOLLAR = {
          scope: "char.escape",
          match: /''\$/
        };
        const ANTIQUOTE = {
          scope: "subst",
          begin: /\$\{/,
          end: /\}/,
          keywords: KEYWORDS
        };
        const ESCAPED_DOUBLEQUOTE = {
          scope: "char.escape",
          match: /'''/
        };
        const ESCAPED_LITERAL = {
          scope: "char.escape",
          match: /\\(?!\$)./
        };
        const STRING = {
          scope: "string",
          variants: [
            {
              begin: "''",
              end: "''",
              contains: [
                INDENTED_ESCAPED_DOLLAR,
                ANTIQUOTE,
                ESCAPED_DOUBLEQUOTE,
                ESCAPED_LITERAL
              ]
            },
            {
              begin: '"',
              end: '"',
              contains: [
                NORMAL_ESCAPED_DOLLAR,
                ANTIQUOTE,
                ESCAPED_LITERAL
              ]
            }
          ]
        };
        const FUNCTION_PARAMS = {
          scope: "params",
          match: new RegExp(`${IDENTIFIER_REGEX}\\s*:(?=\\s)`)
        };
        const EXPRESSIONS2 = [
          NUMBER,
          hljs.HASH_COMMENT_MODE,
          hljs.C_BLOCK_COMMENT_MODE,
          hljs.COMMENT(
            /\/\*\*(?!\/)/,
            /\*\//,
            {
              subLanguage: "markdown",
              relevance: 0
            }
          ),
          BUILTINS,
          STRING,
          LOOKUP_PATH,
          PATH,
          FUNCTION_PARAMS,
          ATTRS,
          MINUS_OPERATOR,
          OPERATOR
        ];
        ANTIQUOTE.contains = EXPRESSIONS2;
        const REPL = [
          {
            scope: "meta.prompt",
            match: /^nix-repl>(?=\s)/,
            relevance: 10
          },
          {
            scope: "meta",
            beforeMatch: /\s+/,
            begin: /:([a-z]+|\?)/
          }
        ];
        return {
          name: "Nix",
          aliases: ["nixos"],
          keywords: KEYWORDS,
          contains: EXPRESSIONS2.concat(REPL)
        };
      }
      module.exports = nix;
    }
  });

  // node_modules/highlight.js/lib/languages/node-repl.js
  var require_node_repl = __commonJS({
    "node_modules/highlight.js/lib/languages/node-repl.js"(exports, module) {
      function nodeRepl(hljs) {
        return {
          name: "Node REPL",
          contains: [
            {
              className: "meta.prompt",
              starts: {
                // a space separates the REPL prefix from the actual code
                // this is purely for cleaner HTML output
                end: / |$/,
                starts: {
                  end: "$",
                  subLanguage: "javascript"
                }
              },
              variants: [
                { begin: /^>(?=[ ]|$)/ },
                { begin: /^\.\.\.(?=[ ]|$)/ }
              ]
            }
          ]
        };
      }
      module.exports = nodeRepl;
    }
  });

  // node_modules/highlight.js/lib/languages/nsis.js
  var require_nsis = __commonJS({
    "node_modules/highlight.js/lib/languages/nsis.js"(exports, module) {
      function nsis(hljs) {
        const regex = hljs.regex;
        const LANGUAGE_CONSTANTS = [
          "ADMINTOOLS",
          "APPDATA",
          "CDBURN_AREA",
          "CMDLINE",
          "COMMONFILES32",
          "COMMONFILES64",
          "COMMONFILES",
          "COOKIES",
          "DESKTOP",
          "DOCUMENTS",
          "EXEDIR",
          "EXEFILE",
          "EXEPATH",
          "FAVORITES",
          "FONTS",
          "HISTORY",
          "HWNDPARENT",
          "INSTDIR",
          "INTERNET_CACHE",
          "LANGUAGE",
          "LOCALAPPDATA",
          "MUSIC",
          "NETHOOD",
          "OUTDIR",
          "PICTURES",
          "PLUGINSDIR",
          "PRINTHOOD",
          "PROFILE",
          "PROGRAMFILES32",
          "PROGRAMFILES64",
          "PROGRAMFILES",
          "QUICKLAUNCH",
          "RECENT",
          "RESOURCES_LOCALIZED",
          "RESOURCES",
          "SENDTO",
          "SMPROGRAMS",
          "SMSTARTUP",
          "STARTMENU",
          "SYSDIR",
          "TEMP",
          "TEMPLATES",
          "VIDEOS",
          "WINDIR"
        ];
        const PARAM_NAMES = [
          "ARCHIVE",
          "FILE_ATTRIBUTE_ARCHIVE",
          "FILE_ATTRIBUTE_NORMAL",
          "FILE_ATTRIBUTE_OFFLINE",
          "FILE_ATTRIBUTE_READONLY",
          "FILE_ATTRIBUTE_SYSTEM",
          "FILE_ATTRIBUTE_TEMPORARY",
          "HKCR",
          "HKCU",
          "HKDD",
          "HKEY_CLASSES_ROOT",
          "HKEY_CURRENT_CONFIG",
          "HKEY_CURRENT_USER",
          "HKEY_DYN_DATA",
          "HKEY_LOCAL_MACHINE",
          "HKEY_PERFORMANCE_DATA",
          "HKEY_USERS",
          "HKLM",
          "HKPD",
          "HKU",
          "IDABORT",
          "IDCANCEL",
          "IDIGNORE",
          "IDNO",
          "IDOK",
          "IDRETRY",
          "IDYES",
          "MB_ABORTRETRYIGNORE",
          "MB_DEFBUTTON1",
          "MB_DEFBUTTON2",
          "MB_DEFBUTTON3",
          "MB_DEFBUTTON4",
          "MB_ICONEXCLAMATION",
          "MB_ICONINFORMATION",
          "MB_ICONQUESTION",
          "MB_ICONSTOP",
          "MB_OK",
          "MB_OKCANCEL",
          "MB_RETRYCANCEL",
          "MB_RIGHT",
          "MB_RTLREADING",
          "MB_SETFOREGROUND",
          "MB_TOPMOST",
          "MB_USERICON",
          "MB_YESNO",
          "NORMAL",
          "OFFLINE",
          "READONLY",
          "SHCTX",
          "SHELL_CONTEXT",
          "SYSTEM|TEMPORARY"
        ];
        const COMPILER_FLAGS = [
          "addincludedir",
          "addplugindir",
          "appendfile",
          "assert",
          "cd",
          "define",
          "delfile",
          "echo",
          "else",
          "endif",
          "error",
          "execute",
          "finalize",
          "getdllversion",
          "gettlbversion",
          "if",
          "ifdef",
          "ifmacrodef",
          "ifmacrondef",
          "ifndef",
          "include",
          "insertmacro",
          "macro",
          "macroend",
          "makensis",
          "packhdr",
          "searchparse",
          "searchreplace",
          "system",
          "tempfile",
          "undef",
          "uninstfinalize",
          "verbose",
          "warning"
        ];
        const CONSTANTS = {
          className: "variable.constant",
          begin: regex.concat(/\$/, regex.either(...LANGUAGE_CONSTANTS))
        };
        const DEFINES = {
          // ${defines}
          className: "variable",
          begin: /\$+\{[\!\w.:-]+\}/
        };
        const VARIABLES = {
          // $variables
          className: "variable",
          begin: /\$+\w[\w\.]*/,
          illegal: /\(\)\{\}/
        };
        const LANGUAGES = {
          // $(language_strings)
          className: "variable",
          begin: /\$+\([\w^.:!-]+\)/
        };
        const PARAMETERS = {
          // command parameters
          className: "params",
          begin: regex.either(...PARAM_NAMES)
        };
        const COMPILER = {
          // !compiler_flags
          className: "keyword",
          begin: regex.concat(
            /!/,
            regex.either(...COMPILER_FLAGS)
          )
        };
        const ESCAPE_CHARS = {
          // $\n, $\r, $\t, $$
          className: "char.escape",
          begin: /\$(\\[nrt]|\$)/
        };
        const PLUGINS = {
          // plug::ins
          className: "title.function",
          begin: /\w+::\w+/
        };
        const STRING = {
          className: "string",
          variants: [
            {
              begin: '"',
              end: '"'
            },
            {
              begin: "'",
              end: "'"
            },
            {
              begin: "`",
              end: "`"
            }
          ],
          illegal: /\n/,
          contains: [
            ESCAPE_CHARS,
            CONSTANTS,
            DEFINES,
            VARIABLES,
            LANGUAGES
          ]
        };
        const KEYWORDS = [
          "Abort",
          "AddBrandingImage",
          "AddSize",
          "AllowRootDirInstall",
          "AllowSkipFiles",
          "AutoCloseWindow",
          "BGFont",
          "BGGradient",
          "BrandingText",
          "BringToFront",
          "Call",
          "CallInstDLL",
          "Caption",
          "ChangeUI",
          "CheckBitmap",
          "ClearErrors",
          "CompletedText",
          "ComponentText",
          "CopyFiles",
          "CRCCheck",
          "CreateDirectory",
          "CreateFont",
          "CreateShortCut",
          "Delete",
          "DeleteINISec",
          "DeleteINIStr",
          "DeleteRegKey",
          "DeleteRegValue",
          "DetailPrint",
          "DetailsButtonText",
          "DirText",
          "DirVar",
          "DirVerify",
          "EnableWindow",
          "EnumRegKey",
          "EnumRegValue",
          "Exch",
          "Exec",
          "ExecShell",
          "ExecShellWait",
          "ExecWait",
          "ExpandEnvStrings",
          "File",
          "FileBufSize",
          "FileClose",
          "FileErrorText",
          "FileOpen",
          "FileRead",
          "FileReadByte",
          "FileReadUTF16LE",
          "FileReadWord",
          "FileWriteUTF16LE",
          "FileSeek",
          "FileWrite",
          "FileWriteByte",
          "FileWriteWord",
          "FindClose",
          "FindFirst",
          "FindNext",
          "FindWindow",
          "FlushINI",
          "GetCurInstType",
          "GetCurrentAddress",
          "GetDlgItem",
          "GetDLLVersion",
          "GetDLLVersionLocal",
          "GetErrorLevel",
          "GetFileTime",
          "GetFileTimeLocal",
          "GetFullPathName",
          "GetFunctionAddress",
          "GetInstDirError",
          "GetKnownFolderPath",
          "GetLabelAddress",
          "GetTempFileName",
          "GetWinVer",
          "Goto",
          "HideWindow",
          "Icon",
          "IfAbort",
          "IfErrors",
          "IfFileExists",
          "IfRebootFlag",
          "IfRtlLanguage",
          "IfShellVarContextAll",
          "IfSilent",
          "InitPluginsDir",
          "InstallButtonText",
          "InstallColors",
          "InstallDir",
          "InstallDirRegKey",
          "InstProgressFlags",
          "InstType",
          "InstTypeGetText",
          "InstTypeSetText",
          "Int64Cmp",
          "Int64CmpU",
          "Int64Fmt",
          "IntCmp",
          "IntCmpU",
          "IntFmt",
          "IntOp",
          "IntPtrCmp",
          "IntPtrCmpU",
          "IntPtrOp",
          "IsWindow",
          "LangString",
          "LicenseBkColor",
          "LicenseData",
          "LicenseForceSelection",
          "LicenseLangString",
          "LicenseText",
          "LoadAndSetImage",
          "LoadLanguageFile",
          "LockWindow",
          "LogSet",
          "LogText",
          "ManifestDPIAware",
          "ManifestLongPathAware",
          "ManifestMaxVersionTested",
          "ManifestSupportedOS",
          "MessageBox",
          "MiscButtonText",
          "Name|0",
          "Nop",
          "OutFile",
          "Page",
          "PageCallbacks",
          "PEAddResource",
          "PEDllCharacteristics",
          "PERemoveResource",
          "PESubsysVer",
          "Pop",
          "Push",
          "Quit",
          "ReadEnvStr",
          "ReadINIStr",
          "ReadRegDWORD",
          "ReadRegStr",
          "Reboot",
          "RegDLL",
          "Rename",
          "RequestExecutionLevel",
          "ReserveFile",
          "Return",
          "RMDir",
          "SearchPath",
          "SectionGetFlags",
          "SectionGetInstTypes",
          "SectionGetSize",
          "SectionGetText",
          "SectionIn",
          "SectionSetFlags",
          "SectionSetInstTypes",
          "SectionSetSize",
          "SectionSetText",
          "SendMessage",
          "SetAutoClose",
          "SetBrandingImage",
          "SetCompress",
          "SetCompressor",
          "SetCompressorDictSize",
          "SetCtlColors",
          "SetCurInstType",
          "SetDatablockOptimize",
          "SetDateSave",
          "SetDetailsPrint",
          "SetDetailsView",
          "SetErrorLevel",
          "SetErrors",
          "SetFileAttributes",
          "SetFont",
          "SetOutPath",
          "SetOverwrite",
          "SetRebootFlag",
          "SetRegView",
          "SetShellVarContext",
          "SetSilent",
          "ShowInstDetails",
          "ShowUninstDetails",
          "ShowWindow",
          "SilentInstall",
          "SilentUnInstall",
          "Sleep",
          "SpaceTexts",
          "StrCmp",
          "StrCmpS",
          "StrCpy",
          "StrLen",
          "SubCaption",
          "Unicode",
          "UninstallButtonText",
          "UninstallCaption",
          "UninstallIcon",
          "UninstallSubCaption",
          "UninstallText",
          "UninstPage",
          "UnRegDLL",
          "Var",
          "VIAddVersionKey",
          "VIFileVersion",
          "VIProductVersion",
          "WindowIcon",
          "WriteINIStr",
          "WriteRegBin",
          "WriteRegDWORD",
          "WriteRegExpandStr",
          "WriteRegMultiStr",
          "WriteRegNone",
          "WriteRegStr",
          "WriteUninstaller",
          "XPStyle"
        ];
        const LITERALS = [
          "admin",
          "all",
          "auto",
          "both",
          "bottom",
          "bzip2",
          "colored",
          "components",
          "current",
          "custom",
          "directory",
          "false",
          "force",
          "hide",
          "highest",
          "ifdiff",
          "ifnewer",
          "instfiles",
          "lastused",
          "leave",
          "left",
          "license",
          "listonly",
          "lzma",
          "nevershow",
          "none",
          "normal",
          "notset",
          "off",
          "on",
          "open",
          "print",
          "right",
          "show",
          "silent",
          "silentlog",
          "smooth",
          "textonly",
          "top",
          "true",
          "try",
          "un.components",
          "un.custom",
          "un.directory",
          "un.instfiles",
          "un.license",
          "uninstConfirm",
          "user",
          "Win10",
          "Win7",
          "Win8",
          "WinVista",
          "zlib"
        ];
        const FUNCTION_DEFINITION = {
          match: [
            /Function/,
            /\s+/,
            regex.concat(/(\.)?/, hljs.IDENT_RE)
          ],
          scope: {
            1: "keyword",
            3: "title.function"
          }
        };
        const VARIABLE_NAME_RE = /[A-Za-z][\w.]*/;
        const VARIABLE_DEFINITION = {
          match: [
            /Var/,
            /\s+/,
            /(?:\/GLOBAL\s+)?/,
            VARIABLE_NAME_RE
          ],
          scope: {
            1: "keyword",
            3: "params",
            4: "variable"
          }
        };
        return {
          name: "NSIS",
          case_insensitive: true,
          keywords: {
            keyword: KEYWORDS,
            literal: LITERALS
          },
          contains: [
            hljs.HASH_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.COMMENT(
              ";",
              "$",
              { relevance: 0 }
            ),
            VARIABLE_DEFINITION,
            FUNCTION_DEFINITION,
            { beginKeywords: "Function PageEx Section SectionGroup FunctionEnd SectionEnd" },
            STRING,
            COMPILER,
            DEFINES,
            VARIABLES,
            LANGUAGES,
            PARAMETERS,
            PLUGINS,
            hljs.NUMBER_MODE
          ]
        };
      }
      module.exports = nsis;
    }
  });

  // node_modules/highlight.js/lib/languages/objectivec.js
  var require_objectivec = __commonJS({
    "node_modules/highlight.js/lib/languages/objectivec.js"(exports, module) {
      function objectivec(hljs) {
        const API_CLASS = {
          className: "built_in",
          begin: "\\b(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)\\w+"
        };
        const IDENTIFIER_RE = /[a-zA-Z@][a-zA-Z0-9_]*/;
        const TYPES = [
          "int",
          "float",
          "char",
          "unsigned",
          "signed",
          "short",
          "long",
          "double",
          "wchar_t",
          "unichar",
          "void",
          "bool",
          "BOOL",
          "id|0",
          "_Bool"
        ];
        const KWS = [
          "while",
          "export",
          "sizeof",
          "typedef",
          "const",
          "struct",
          "for",
          "union",
          "volatile",
          "static",
          "mutable",
          "if",
          "do",
          "return",
          "goto",
          "enum",
          "else",
          "break",
          "extern",
          "asm",
          "case",
          "default",
          "register",
          "explicit",
          "typename",
          "switch",
          "continue",
          "inline",
          "readonly",
          "assign",
          "readwrite",
          "self",
          "@synchronized",
          "id",
          "typeof",
          "nonatomic",
          "IBOutlet",
          "IBAction",
          "strong",
          "weak",
          "copy",
          "in",
          "out",
          "inout",
          "bycopy",
          "byref",
          "oneway",
          "__strong",
          "__weak",
          "__block",
          "__autoreleasing",
          "@private",
          "@protected",
          "@public",
          "@try",
          "@property",
          "@end",
          "@throw",
          "@catch",
          "@finally",
          "@autoreleasepool",
          "@synthesize",
          "@dynamic",
          "@selector",
          "@optional",
          "@required",
          "@encode",
          "@package",
          "@import",
          "@defs",
          "@compatibility_alias",
          "__bridge",
          "__bridge_transfer",
          "__bridge_retained",
          "__bridge_retain",
          "__covariant",
          "__contravariant",
          "__kindof",
          "_Nonnull",
          "_Nullable",
          "_Null_unspecified",
          "__FUNCTION__",
          "__PRETTY_FUNCTION__",
          "__attribute__",
          "getter",
          "setter",
          "retain",
          "unsafe_unretained",
          "nonnull",
          "nullable",
          "null_unspecified",
          "null_resettable",
          "class",
          "instancetype",
          "NS_DESIGNATED_INITIALIZER",
          "NS_UNAVAILABLE",
          "NS_REQUIRES_SUPER",
          "NS_RETURNS_INNER_POINTER",
          "NS_INLINE",
          "NS_AVAILABLE",
          "NS_DEPRECATED",
          "NS_ENUM",
          "NS_OPTIONS",
          "NS_SWIFT_UNAVAILABLE",
          "NS_ASSUME_NONNULL_BEGIN",
          "NS_ASSUME_NONNULL_END",
          "NS_REFINED_FOR_SWIFT",
          "NS_SWIFT_NAME",
          "NS_SWIFT_NOTHROW",
          "NS_DURING",
          "NS_HANDLER",
          "NS_ENDHANDLER",
          "NS_VALUERETURN",
          "NS_VOIDRETURN"
        ];
        const LITERALS = [
          "false",
          "true",
          "FALSE",
          "TRUE",
          "nil",
          "YES",
          "NO",
          "NULL"
        ];
        const BUILT_INS = [
          "dispatch_once_t",
          "dispatch_queue_t",
          "dispatch_sync",
          "dispatch_async",
          "dispatch_once"
        ];
        const KEYWORDS = {
          "variable.language": [
            "this",
            "super"
          ],
          $pattern: IDENTIFIER_RE,
          keyword: KWS,
          literal: LITERALS,
          built_in: BUILT_INS,
          type: TYPES
        };
        const CLASS_KEYWORDS = {
          $pattern: IDENTIFIER_RE,
          keyword: [
            "@interface",
            "@class",
            "@protocol",
            "@implementation"
          ]
        };
        return {
          name: "Objective-C",
          aliases: [
            "mm",
            "objc",
            "obj-c",
            "obj-c++",
            "objective-c++"
          ],
          keywords: KEYWORDS,
          illegal: "</",
          contains: [
            API_CLASS,
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.C_NUMBER_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.APOS_STRING_MODE,
            {
              className: "string",
              variants: [
                {
                  begin: '@"',
                  end: '"',
                  illegal: "\\n",
                  contains: [hljs.BACKSLASH_ESCAPE]
                }
              ]
            },
            {
              className: "meta",
              begin: /#\s*[a-z]+\b/,
              end: /$/,
              keywords: { keyword: "if else elif endif define undef warning error line pragma ifdef ifndef include" },
              contains: [
                {
                  begin: /\\\n/,
                  relevance: 0
                },
                hljs.inherit(hljs.QUOTE_STRING_MODE, { className: "string" }),
                {
                  className: "string",
                  begin: /<.*?>/,
                  end: /$/,
                  illegal: "\\n"
                },
                hljs.C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE
              ]
            },
            {
              className: "class",
              begin: "(" + CLASS_KEYWORDS.keyword.join("|") + ")\\b",
              end: /(\{|$)/,
              excludeEnd: true,
              keywords: CLASS_KEYWORDS,
              contains: [hljs.UNDERSCORE_TITLE_MODE]
            },
            {
              begin: "\\." + hljs.UNDERSCORE_IDENT_RE,
              relevance: 0
            }
          ]
        };
      }
      module.exports = objectivec;
    }
  });

  // node_modules/highlight.js/lib/languages/ocaml.js
  var require_ocaml = __commonJS({
    "node_modules/highlight.js/lib/languages/ocaml.js"(exports, module) {
      function ocaml(hljs) {
        return {
          name: "OCaml",
          aliases: ["ml"],
          keywords: {
            $pattern: "[a-z_]\\w*!?",
            keyword: "and as assert asr begin class constraint do done downto else end exception external for fun function functor if in include inherit! inherit initializer land lazy let lor lsl lsr lxor match method!|10 method mod module mutable new object of open! open or private rec sig struct then to try type val! val virtual when while with parser value",
            built_in: (
              /* built-in types */
              "array bool bytes char exn|5 float int int32 int64 list lazy_t|5 nativeint|5 string unit in_channel out_channel ref"
            ),
            literal: "true false"
          },
          illegal: /\/\/|>>/,
          contains: [
            {
              className: "literal",
              begin: "\\[(\\|\\|)?\\]|\\(\\)",
              relevance: 0
            },
            hljs.COMMENT(
              "\\(\\*",
              "\\*\\)",
              { contains: ["self"] }
            ),
            {
              /* type variable */
              className: "symbol",
              begin: "'[A-Za-z_](?!')[\\w']*"
              /* the grammar is ambiguous on how 'a'b should be interpreted but not the compiler */
            },
            {
              /* polymorphic variant */
              className: "type",
              begin: "`[A-Z][\\w']*"
            },
            {
              /* module or constructor */
              className: "type",
              begin: "\\b[A-Z][\\w']*",
              relevance: 0
            },
            {
              /* don't color identifiers, but safely catch all identifiers with ' */
              begin: "[a-z_]\\w*'[\\w']*",
              relevance: 0
            },
            hljs.inherit(hljs.APOS_STRING_MODE, {
              className: "string",
              relevance: 0
            }),
            hljs.inherit(hljs.QUOTE_STRING_MODE, { illegal: null }),
            {
              className: "number",
              begin: "\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)",
              relevance: 0
            },
            {
              begin: /->/
              // relevance booster
            }
          ]
        };
      }
      module.exports = ocaml;
    }
  });

  // node_modules/highlight.js/lib/languages/openscad.js
  var require_openscad = __commonJS({
    "node_modules/highlight.js/lib/languages/openscad.js"(exports, module) {
      function openscad(hljs) {
        const SPECIAL_VARS = {
          className: "keyword",
          begin: "\\$(f[asn]|t|vp[rtd]|children)"
        };
        const LITERALS = {
          className: "literal",
          begin: "false|true|PI|undef"
        };
        const NUMBERS = {
          className: "number",
          begin: "\\b\\d+(\\.\\d+)?(e-?\\d+)?",
          // adds 1e5, 1e-10
          relevance: 0
        };
        const STRING = hljs.inherit(hljs.QUOTE_STRING_MODE, { illegal: null });
        const PREPRO = {
          className: "meta",
          keywords: { keyword: "include use" },
          begin: "include|use <",
          end: ">"
        };
        const PARAMS = {
          className: "params",
          begin: "\\(",
          end: "\\)",
          contains: [
            "self",
            NUMBERS,
            STRING,
            SPECIAL_VARS,
            LITERALS
          ]
        };
        const MODIFIERS = {
          begin: "[*!#%]",
          relevance: 0
        };
        const FUNCTIONS = {
          className: "function",
          beginKeywords: "module function",
          end: /=|\{/,
          contains: [
            PARAMS,
            hljs.UNDERSCORE_TITLE_MODE
          ]
        };
        return {
          name: "OpenSCAD",
          aliases: ["scad"],
          keywords: {
            keyword: "function module include use for intersection_for if else \\%",
            literal: "false true PI undef",
            built_in: "circle square polygon text sphere cube cylinder polyhedron translate rotate scale resize mirror multmatrix color offset hull minkowski union difference intersection abs sign sin cos tan acos asin atan atan2 floor round ceil ln log pow sqrt exp rands min max concat lookup str chr search version version_num norm cross parent_module echo import import_dxf dxf_linear_extrude linear_extrude rotate_extrude surface projection render children dxf_cross dxf_dim let assign"
          },
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            NUMBERS,
            PREPRO,
            STRING,
            SPECIAL_VARS,
            MODIFIERS,
            FUNCTIONS
          ]
        };
      }
      module.exports = openscad;
    }
  });

  // node_modules/highlight.js/lib/languages/oxygene.js
  var require_oxygene = __commonJS({
    "node_modules/highlight.js/lib/languages/oxygene.js"(exports, module) {
      function oxygene(hljs) {
        const OXYGENE_KEYWORDS = {
          $pattern: /\.?\w+/,
          keyword: "abstract add and array as asc aspect assembly async begin break block by case class concat const copy constructor continue create default delegate desc distinct div do downto dynamic each else empty end ensure enum equals event except exit extension external false final finalize finalizer finally flags for forward from function future global group has if implementation implements implies in index inherited inline interface into invariants is iterator join locked locking loop matching method mod module namespace nested new nil not notify nullable of old on operator or order out override parallel params partial pinned private procedure property protected public queryable raise read readonly record reintroduce remove repeat require result reverse sealed select self sequence set shl shr skip static step soft take then to true try tuple type union unit unsafe until uses using var virtual raises volatile where while with write xor yield await mapped deprecated stdcall cdecl pascal register safecall overload library platform reference packed strict published autoreleasepool selector strong weak unretained"
        };
        const CURLY_COMMENT = hljs.COMMENT(
          /\{/,
          /\}/,
          { relevance: 0 }
        );
        const PAREN_COMMENT = hljs.COMMENT(
          "\\(\\*",
          "\\*\\)",
          { relevance: 10 }
        );
        const STRING = {
          className: "string",
          begin: "'",
          end: "'",
          contains: [{ begin: "''" }]
        };
        const CHAR_STRING = {
          className: "string",
          begin: "(#\\d+)+"
        };
        const FUNCTION = {
          beginKeywords: "function constructor destructor procedure method",
          end: "[:;]",
          keywords: "function constructor|10 destructor|10 procedure|10 method|10",
          contains: [
            hljs.inherit(hljs.TITLE_MODE, { scope: "title.function" }),
            {
              className: "params",
              begin: "\\(",
              end: "\\)",
              keywords: OXYGENE_KEYWORDS,
              contains: [
                STRING,
                CHAR_STRING
              ]
            },
            CURLY_COMMENT,
            PAREN_COMMENT
          ]
        };
        const SEMICOLON = {
          scope: "punctuation",
          match: /;/,
          relevance: 0
        };
        return {
          name: "Oxygene",
          case_insensitive: true,
          keywords: OXYGENE_KEYWORDS,
          illegal: '("|\\$[G-Zg-z]|\\/\\*|</|=>|->)',
          contains: [
            CURLY_COMMENT,
            PAREN_COMMENT,
            hljs.C_LINE_COMMENT_MODE,
            STRING,
            CHAR_STRING,
            hljs.NUMBER_MODE,
            FUNCTION,
            SEMICOLON
          ]
        };
      }
      module.exports = oxygene;
    }
  });

  // node_modules/highlight.js/lib/languages/parser3.js
  var require_parser3 = __commonJS({
    "node_modules/highlight.js/lib/languages/parser3.js"(exports, module) {
      function parser3(hljs) {
        const CURLY_SUBCOMMENT = hljs.COMMENT(
          /\{/,
          /\}/,
          { contains: ["self"] }
        );
        return {
          name: "Parser3",
          subLanguage: "xml",
          relevance: 0,
          contains: [
            hljs.COMMENT("^#", "$"),
            hljs.COMMENT(
              /\^rem\{/,
              /\}/,
              {
                relevance: 10,
                contains: [CURLY_SUBCOMMENT]
              }
            ),
            {
              className: "meta",
              begin: "^@(?:BASE|USE|CLASS|OPTIONS)$",
              relevance: 10
            },
            {
              className: "title",
              begin: "@[\\w\\-]+\\[[\\w^;\\-]*\\](?:\\[[\\w^;\\-]*\\])?(?:.*)$"
            },
            {
              className: "variable",
              begin: /\$\{?[\w\-.:]+\}?/
            },
            {
              className: "keyword",
              begin: /\^[\w\-.:]+/
            },
            {
              className: "number",
              begin: "\\^#[0-9a-fA-F]+"
            },
            hljs.C_NUMBER_MODE
          ]
        };
      }
      module.exports = parser3;
    }
  });

  // node_modules/highlight.js/lib/languages/pf.js
  var require_pf = __commonJS({
    "node_modules/highlight.js/lib/languages/pf.js"(exports, module) {
      function pf(hljs) {
        const MACRO = {
          className: "variable",
          begin: /\$[\w\d#@][\w\d_]*/,
          relevance: 0
        };
        const TABLE = {
          className: "variable",
          begin: /<(?!\/)/,
          end: />/
        };
        return {
          name: "Packet Filter config",
          aliases: ["pf.conf"],
          keywords: {
            $pattern: /[a-z0-9_<>-]+/,
            built_in: (
              /* block match pass are "actions" in pf.conf(5), the rest are
               * lexically similar top-level commands.
               */
              "block match pass load anchor|5 antispoof|10 set table"
            ),
            keyword: "in out log quick on rdomain inet inet6 proto from port os to route allow-opts divert-packet divert-reply divert-to flags group icmp-type icmp6-type label once probability recieved-on rtable prio queue tos tag tagged user keep fragment for os drop af-to|10 binat-to|10 nat-to|10 rdr-to|10 bitmask least-stats random round-robin source-hash static-port dup-to reply-to route-to parent bandwidth default min max qlimit block-policy debug fingerprints hostid limit loginterface optimization reassemble ruleset-optimization basic none profile skip state-defaults state-policy timeout const counters persist no modulate synproxy state|5 floating if-bound no-sync pflow|10 sloppy source-track global rule max-src-nodes max-src-states max-src-conn max-src-conn-rate overload flush scrub|5 max-mss min-ttl no-df|10 random-id",
            literal: "all any no-route self urpf-failed egress|5 unknown"
          },
          contains: [
            hljs.HASH_COMMENT_MODE,
            hljs.NUMBER_MODE,
            hljs.QUOTE_STRING_MODE,
            MACRO,
            TABLE
          ]
        };
      }
      module.exports = pf;
    }
  });

  // node_modules/highlight.js/lib/languages/pgsql.js
  var require_pgsql = __commonJS({
    "node_modules/highlight.js/lib/languages/pgsql.js"(exports, module) {
      function pgsql(hljs) {
        const COMMENT_MODE = hljs.COMMENT("--", "$");
        const UNQUOTED_IDENT = "[a-zA-Z_][a-zA-Z_0-9$]*";
        const DOLLAR_STRING = "\\$([a-zA-Z_]?|[a-zA-Z_][a-zA-Z_0-9]*)\\$";
        const LABEL = "<<\\s*" + UNQUOTED_IDENT + "\\s*>>";
        const SQL_KW = (
          // https://www.postgresql.org/docs/11/static/sql-keywords-appendix.html
          // https://www.postgresql.org/docs/11/static/sql-commands.html
          // SQL commands (starting words)
          "ABORT ALTER ANALYZE BEGIN CALL CHECKPOINT|10 CLOSE CLUSTER COMMENT COMMIT COPY CREATE DEALLOCATE DECLARE DELETE DISCARD DO DROP END EXECUTE EXPLAIN FETCH GRANT IMPORT INSERT LISTEN LOAD LOCK MOVE NOTIFY PREPARE REASSIGN|10 REFRESH REINDEX RELEASE RESET REVOKE ROLLBACK SAVEPOINT SECURITY SELECT SET SHOW START TRUNCATE UNLISTEN|10 UPDATE VACUUM|10 VALUES AGGREGATE COLLATION CONVERSION|10 DATABASE DEFAULT PRIVILEGES DOMAIN TRIGGER EXTENSION FOREIGN WRAPPER|10 TABLE FUNCTION GROUP LANGUAGE LARGE OBJECT MATERIALIZED VIEW OPERATOR CLASS FAMILY POLICY PUBLICATION|10 ROLE RULE SCHEMA SEQUENCE SERVER STATISTICS SUBSCRIPTION SYSTEM TABLESPACE CONFIGURATION DICTIONARY PARSER TEMPLATE TYPE USER MAPPING PREPARED ACCESS METHOD CAST AS TRANSFORM TRANSACTION OWNED TO INTO SESSION AUTHORIZATION INDEX PROCEDURE ASSERTION ALL ANALYSE AND ANY ARRAY ASC ASYMMETRIC|10 BOTH CASE CHECK COLLATE COLUMN CONCURRENTLY|10 CONSTRAINT CROSS DEFERRABLE RANGE DESC DISTINCT ELSE EXCEPT FOR FREEZE|10 FROM FULL HAVING ILIKE IN INITIALLY INNER INTERSECT IS ISNULL JOIN LATERAL LEADING LIKE LIMIT NATURAL NOT NOTNULL NULL OFFSET ON ONLY OR ORDER OUTER OVERLAPS PLACING PRIMARY REFERENCES RETURNING SIMILAR SOME SYMMETRIC TABLESAMPLE THEN TRAILING UNION UNIQUE USING VARIADIC|10 VERBOSE WHEN WHERE WINDOW WITH BY RETURNS INOUT OUT SETOF|10 IF STRICT CURRENT CONTINUE OWNER LOCATION OVER PARTITION WITHIN BETWEEN ESCAPE EXTERNAL INVOKER DEFINER WORK RENAME VERSION CONNECTION CONNECT TABLES TEMP TEMPORARY FUNCTIONS SEQUENCES TYPES SCHEMAS OPTION CASCADE RESTRICT ADD ADMIN EXISTS VALID VALIDATE ENABLE DISABLE REPLICA|10 ALWAYS PASSING COLUMNS PATH REF VALUE OVERRIDING IMMUTABLE STABLE VOLATILE BEFORE AFTER EACH ROW PROCEDURAL ROUTINE NO HANDLER VALIDATOR OPTIONS STORAGE OIDS|10 WITHOUT INHERIT DEPENDS CALLED INPUT LEAKPROOF|10 COST ROWS NOWAIT SEARCH UNTIL ENCRYPTED|10 PASSWORD CONFLICT|10 INSTEAD INHERITS CHARACTERISTICS WRITE CURSOR ALSO STATEMENT SHARE EXCLUSIVE INLINE ISOLATION REPEATABLE READ COMMITTED SERIALIZABLE UNCOMMITTED LOCAL GLOBAL SQL PROCEDURES RECURSIVE SNAPSHOT ROLLUP CUBE TRUSTED|10 INCLUDE FOLLOWING PRECEDING UNBOUNDED RANGE GROUPS UNENCRYPTED|10 SYSID FORMAT DELIMITER HEADER QUOTE ENCODING FILTER OFF FORCE_QUOTE FORCE_NOT_NULL FORCE_NULL COSTS BUFFERS TIMING SUMMARY DISABLE_PAGE_SKIPPING RESTART CYCLE GENERATED IDENTITY DEFERRED IMMEDIATE LEVEL LOGGED UNLOGGED OF NOTHING NONE EXCLUDE ATTRIBUTE USAGE ROUTINES TRUE FALSE NAN INFINITY "
        );
        const ROLE_ATTRS = (
          // only those not in keywrods already
          "SUPERUSER NOSUPERUSER CREATEDB NOCREATEDB CREATEROLE NOCREATEROLE INHERIT NOINHERIT LOGIN NOLOGIN REPLICATION NOREPLICATION BYPASSRLS NOBYPASSRLS "
        );
        const PLPGSQL_KW = "ALIAS BEGIN CONSTANT DECLARE END EXCEPTION RETURN PERFORM|10 RAISE GET DIAGNOSTICS STACKED|10 FOREACH LOOP ELSIF EXIT WHILE REVERSE SLICE DEBUG LOG INFO NOTICE WARNING ASSERT OPEN ";
        const TYPES = (
          // https://www.postgresql.org/docs/11/static/datatype.html
          "BIGINT INT8 BIGSERIAL SERIAL8 BIT VARYING VARBIT BOOLEAN BOOL BOX BYTEA CHARACTER CHAR VARCHAR CIDR CIRCLE DATE DOUBLE PRECISION FLOAT8 FLOAT INET INTEGER INT INT4 INTERVAL JSON JSONB LINE LSEG|10 MACADDR MACADDR8 MONEY NUMERIC DEC DECIMAL PATH POINT POLYGON REAL FLOAT4 SMALLINT INT2 SMALLSERIAL|10 SERIAL2|10 SERIAL|10 SERIAL4|10 TEXT TIME ZONE TIMETZ|10 TIMESTAMP TIMESTAMPTZ|10 TSQUERY|10 TSVECTOR|10 TXID_SNAPSHOT|10 UUID XML NATIONAL NCHAR INT4RANGE|10 INT8RANGE|10 NUMRANGE|10 TSRANGE|10 TSTZRANGE|10 DATERANGE|10 ANYELEMENT ANYARRAY ANYNONARRAY ANYENUM ANYRANGE CSTRING INTERNAL RECORD PG_DDL_COMMAND VOID UNKNOWN OPAQUE REFCURSOR NAME OID REGPROC|10 REGPROCEDURE|10 REGOPER|10 REGOPERATOR|10 REGCLASS|10 REGTYPE|10 REGROLE|10 REGNAMESPACE|10 REGCONFIG|10 REGDICTIONARY|10 "
        );
        const TYPES_RE = TYPES.trim().split(" ").map(function(val) {
          return val.split("|")[0];
        }).join("|");
        const SQL_BI = "CURRENT_TIME CURRENT_TIMESTAMP CURRENT_USER CURRENT_CATALOG|10 CURRENT_DATE LOCALTIME LOCALTIMESTAMP CURRENT_ROLE|10 CURRENT_SCHEMA|10 SESSION_USER PUBLIC ";
        const PLPGSQL_BI = "FOUND NEW OLD TG_NAME|10 TG_WHEN|10 TG_LEVEL|10 TG_OP|10 TG_RELID|10 TG_RELNAME|10 TG_TABLE_NAME|10 TG_TABLE_SCHEMA|10 TG_NARGS|10 TG_ARGV|10 TG_EVENT|10 TG_TAG|10 ROW_COUNT RESULT_OID|10 PG_CONTEXT|10 RETURNED_SQLSTATE COLUMN_NAME CONSTRAINT_NAME PG_DATATYPE_NAME|10 MESSAGE_TEXT TABLE_NAME SCHEMA_NAME PG_EXCEPTION_DETAIL|10 PG_EXCEPTION_HINT|10 PG_EXCEPTION_CONTEXT|10 ";
        const PLPGSQL_EXCEPTIONS = (
          // exceptions https://www.postgresql.org/docs/current/static/errcodes-appendix.html
          "SQLSTATE SQLERRM|10 SUCCESSFUL_COMPLETION WARNING DYNAMIC_RESULT_SETS_RETURNED IMPLICIT_ZERO_BIT_PADDING NULL_VALUE_ELIMINATED_IN_SET_FUNCTION PRIVILEGE_NOT_GRANTED PRIVILEGE_NOT_REVOKED STRING_DATA_RIGHT_TRUNCATION DEPRECATED_FEATURE NO_DATA NO_ADDITIONAL_DYNAMIC_RESULT_SETS_RETURNED SQL_STATEMENT_NOT_YET_COMPLETE CONNECTION_EXCEPTION CONNECTION_DOES_NOT_EXIST CONNECTION_FAILURE SQLCLIENT_UNABLE_TO_ESTABLISH_SQLCONNECTION SQLSERVER_REJECTED_ESTABLISHMENT_OF_SQLCONNECTION TRANSACTION_RESOLUTION_UNKNOWN PROTOCOL_VIOLATION TRIGGERED_ACTION_EXCEPTION FEATURE_NOT_SUPPORTED INVALID_TRANSACTION_INITIATION LOCATOR_EXCEPTION INVALID_LOCATOR_SPECIFICATION INVALID_GRANTOR INVALID_GRANT_OPERATION INVALID_ROLE_SPECIFICATION DIAGNOSTICS_EXCEPTION STACKED_DIAGNOSTICS_ACCESSED_WITHOUT_ACTIVE_HANDLER CASE_NOT_FOUND CARDINALITY_VIOLATION DATA_EXCEPTION ARRAY_SUBSCRIPT_ERROR CHARACTER_NOT_IN_REPERTOIRE DATETIME_FIELD_OVERFLOW DIVISION_BY_ZERO ERROR_IN_ASSIGNMENT ESCAPE_CHARACTER_CONFLICT INDICATOR_OVERFLOW INTERVAL_FIELD_OVERFLOW INVALID_ARGUMENT_FOR_LOGARITHM INVALID_ARGUMENT_FOR_NTILE_FUNCTION INVALID_ARGUMENT_FOR_NTH_VALUE_FUNCTION INVALID_ARGUMENT_FOR_POWER_FUNCTION INVALID_ARGUMENT_FOR_WIDTH_BUCKET_FUNCTION INVALID_CHARACTER_VALUE_FOR_CAST INVALID_DATETIME_FORMAT INVALID_ESCAPE_CHARACTER INVALID_ESCAPE_OCTET INVALID_ESCAPE_SEQUENCE NONSTANDARD_USE_OF_ESCAPE_CHARACTER INVALID_INDICATOR_PARAMETER_VALUE INVALID_PARAMETER_VALUE INVALID_REGULAR_EXPRESSION INVALID_ROW_COUNT_IN_LIMIT_CLAUSE INVALID_ROW_COUNT_IN_RESULT_OFFSET_CLAUSE INVALID_TABLESAMPLE_ARGUMENT INVALID_TABLESAMPLE_REPEAT INVALID_TIME_ZONE_DISPLACEMENT_VALUE INVALID_USE_OF_ESCAPE_CHARACTER MOST_SPECIFIC_TYPE_MISMATCH NULL_VALUE_NOT_ALLOWED NULL_VALUE_NO_INDICATOR_PARAMETER NUMERIC_VALUE_OUT_OF_RANGE SEQUENCE_GENERATOR_LIMIT_EXCEEDED STRING_DATA_LENGTH_MISMATCH STRING_DATA_RIGHT_TRUNCATION SUBSTRING_ERROR TRIM_ERROR UNTERMINATED_C_STRING ZERO_LENGTH_CHARACTER_STRING FLOATING_POINT_EXCEPTION INVALID_TEXT_REPRESENTATION INVALID_BINARY_REPRESENTATION BAD_COPY_FILE_FORMAT UNTRANSLATABLE_CHARACTER NOT_AN_XML_DOCUMENT INVALID_XML_DOCUMENT INVALID_XML_CONTENT INVALID_XML_COMMENT INVALID_XML_PROCESSING_INSTRUCTION INTEGRITY_CONSTRAINT_VIOLATION RESTRICT_VIOLATION NOT_NULL_VIOLATION FOREIGN_KEY_VIOLATION UNIQUE_VIOLATION CHECK_VIOLATION EXCLUSION_VIOLATION INVALID_CURSOR_STATE INVALID_TRANSACTION_STATE ACTIVE_SQL_TRANSACTION BRANCH_TRANSACTION_ALREADY_ACTIVE HELD_CURSOR_REQUIRES_SAME_ISOLATION_LEVEL INAPPROPRIATE_ACCESS_MODE_FOR_BRANCH_TRANSACTION INAPPROPRIATE_ISOLATION_LEVEL_FOR_BRANCH_TRANSACTION NO_ACTIVE_SQL_TRANSACTION_FOR_BRANCH_TRANSACTION READ_ONLY_SQL_TRANSACTION SCHEMA_AND_DATA_STATEMENT_MIXING_NOT_SUPPORTED NO_ACTIVE_SQL_TRANSACTION IN_FAILED_SQL_TRANSACTION IDLE_IN_TRANSACTION_SESSION_TIMEOUT INVALID_SQL_STATEMENT_NAME TRIGGERED_DATA_CHANGE_VIOLATION INVALID_AUTHORIZATION_SPECIFICATION INVALID_PASSWORD DEPENDENT_PRIVILEGE_DESCRIPTORS_STILL_EXIST DEPENDENT_OBJECTS_STILL_EXIST INVALID_TRANSACTION_TERMINATION SQL_ROUTINE_EXCEPTION FUNCTION_EXECUTED_NO_RETURN_STATEMENT MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED INVALID_CURSOR_NAME EXTERNAL_ROUTINE_EXCEPTION CONTAINING_SQL_NOT_PERMITTED MODIFYING_SQL_DATA_NOT_PERMITTED PROHIBITED_SQL_STATEMENT_ATTEMPTED READING_SQL_DATA_NOT_PERMITTED EXTERNAL_ROUTINE_INVOCATION_EXCEPTION INVALID_SQLSTATE_RETURNED NULL_VALUE_NOT_ALLOWED TRIGGER_PROTOCOL_VIOLATED SRF_PROTOCOL_VIOLATED EVENT_TRIGGER_PROTOCOL_VIOLATED SAVEPOINT_EXCEPTION INVALID_SAVEPOINT_SPECIFICATION INVALID_CATALOG_NAME INVALID_SCHEMA_NAME TRANSACTION_ROLLBACK TRANSACTION_INTEGRITY_CONSTRAINT_VIOLATION SERIALIZATION_FAILURE STATEMENT_COMPLETION_UNKNOWN DEADLOCK_DETECTED SYNTAX_ERROR_OR_ACCESS_RULE_VIOLATION SYNTAX_ERROR INSUFFICIENT_PRIVILEGE CANNOT_COERCE GROUPING_ERROR WINDOWING_ERROR INVALID_RECURSION INVALID_FOREIGN_KEY INVALID_NAME NAME_TOO_LONG RESERVED_NAME DATATYPE_MISMATCH INDETERMINATE_DATATYPE COLLATION_MISMATCH INDETERMINATE_COLLATION WRONG_OBJECT_TYPE GENERATED_ALWAYS UNDEFINED_COLUMN UNDEFINED_FUNCTION UNDEFINED_TABLE UNDEFINED_PARAMETER UNDEFINED_OBJECT DUPLICATE_COLUMN DUPLICATE_CURSOR DUPLICATE_DATABASE DUPLICATE_FUNCTION DUPLICATE_PREPARED_STATEMENT DUPLICATE_SCHEMA DUPLICATE_TABLE DUPLICATE_ALIAS DUPLICATE_OBJECT AMBIGUOUS_COLUMN AMBIGUOUS_FUNCTION AMBIGUOUS_PARAMETER AMBIGUOUS_ALIAS INVALID_COLUMN_REFERENCE INVALID_COLUMN_DEFINITION INVALID_CURSOR_DEFINITION INVALID_DATABASE_DEFINITION INVALID_FUNCTION_DEFINITION INVALID_PREPARED_STATEMENT_DEFINITION INVALID_SCHEMA_DEFINITION INVALID_TABLE_DEFINITION INVALID_OBJECT_DEFINITION WITH_CHECK_OPTION_VIOLATION INSUFFICIENT_RESOURCES DISK_FULL OUT_OF_MEMORY TOO_MANY_CONNECTIONS CONFIGURATION_LIMIT_EXCEEDED PROGRAM_LIMIT_EXCEEDED STATEMENT_TOO_COMPLEX TOO_MANY_COLUMNS TOO_MANY_ARGUMENTS OBJECT_NOT_IN_PREREQUISITE_STATE OBJECT_IN_USE CANT_CHANGE_RUNTIME_PARAM LOCK_NOT_AVAILABLE OPERATOR_INTERVENTION QUERY_CANCELED ADMIN_SHUTDOWN CRASH_SHUTDOWN CANNOT_CONNECT_NOW DATABASE_DROPPED SYSTEM_ERROR IO_ERROR UNDEFINED_FILE DUPLICATE_FILE SNAPSHOT_TOO_OLD CONFIG_FILE_ERROR LOCK_FILE_EXISTS FDW_ERROR FDW_COLUMN_NAME_NOT_FOUND FDW_DYNAMIC_PARAMETER_VALUE_NEEDED FDW_FUNCTION_SEQUENCE_ERROR FDW_INCONSISTENT_DESCRIPTOR_INFORMATION FDW_INVALID_ATTRIBUTE_VALUE FDW_INVALID_COLUMN_NAME FDW_INVALID_COLUMN_NUMBER FDW_INVALID_DATA_TYPE FDW_INVALID_DATA_TYPE_DESCRIPTORS FDW_INVALID_DESCRIPTOR_FIELD_IDENTIFIER FDW_INVALID_HANDLE FDW_INVALID_OPTION_INDEX FDW_INVALID_OPTION_NAME FDW_INVALID_STRING_LENGTH_OR_BUFFER_LENGTH FDW_INVALID_STRING_FORMAT FDW_INVALID_USE_OF_NULL_POINTER FDW_TOO_MANY_HANDLES FDW_OUT_OF_MEMORY FDW_NO_SCHEMAS FDW_OPTION_NAME_NOT_FOUND FDW_REPLY_HANDLE FDW_SCHEMA_NOT_FOUND FDW_TABLE_NOT_FOUND FDW_UNABLE_TO_CREATE_EXECUTION FDW_UNABLE_TO_CREATE_REPLY FDW_UNABLE_TO_ESTABLISH_CONNECTION PLPGSQL_ERROR RAISE_EXCEPTION NO_DATA_FOUND TOO_MANY_ROWS ASSERT_FAILURE INTERNAL_ERROR DATA_CORRUPTED INDEX_CORRUPTED "
        );
        const FUNCTIONS = (
          // https://www.postgresql.org/docs/11/static/functions-aggregate.html
          "ARRAY_AGG AVG BIT_AND BIT_OR BOOL_AND BOOL_OR COUNT EVERY JSON_AGG JSONB_AGG JSON_OBJECT_AGG JSONB_OBJECT_AGG MAX MIN MODE STRING_AGG SUM XMLAGG CORR COVAR_POP COVAR_SAMP REGR_AVGX REGR_AVGY REGR_COUNT REGR_INTERCEPT REGR_R2 REGR_SLOPE REGR_SXX REGR_SXY REGR_SYY STDDEV STDDEV_POP STDDEV_SAMP VARIANCE VAR_POP VAR_SAMP PERCENTILE_CONT PERCENTILE_DISC ROW_NUMBER RANK DENSE_RANK PERCENT_RANK CUME_DIST NTILE LAG LEAD FIRST_VALUE LAST_VALUE NTH_VALUE NUM_NONNULLS NUM_NULLS ABS CBRT CEIL CEILING DEGREES DIV EXP FLOOR LN LOG MOD PI POWER RADIANS ROUND SCALE SIGN SQRT TRUNC WIDTH_BUCKET RANDOM SETSEED ACOS ACOSD ASIN ASIND ATAN ATAND ATAN2 ATAN2D COS COSD COT COTD SIN SIND TAN TAND BIT_LENGTH CHAR_LENGTH CHARACTER_LENGTH LOWER OCTET_LENGTH OVERLAY POSITION SUBSTRING TREAT TRIM UPPER ASCII BTRIM CHR CONCAT CONCAT_WS CONVERT CONVERT_FROM CONVERT_TO DECODE ENCODE INITCAP LEFT LENGTH LPAD LTRIM MD5 PARSE_IDENT PG_CLIENT_ENCODING QUOTE_IDENT|10 QUOTE_LITERAL|10 QUOTE_NULLABLE|10 REGEXP_MATCH REGEXP_MATCHES REGEXP_REPLACE REGEXP_SPLIT_TO_ARRAY REGEXP_SPLIT_TO_TABLE REPEAT REPLACE REVERSE RIGHT RPAD RTRIM SPLIT_PART STRPOS SUBSTR TO_ASCII TO_HEX TRANSLATE OCTET_LENGTH GET_BIT GET_BYTE SET_BIT SET_BYTE TO_CHAR TO_DATE TO_NUMBER TO_TIMESTAMP AGE CLOCK_TIMESTAMP|10 DATE_PART DATE_TRUNC ISFINITE JUSTIFY_DAYS JUSTIFY_HOURS JUSTIFY_INTERVAL MAKE_DATE MAKE_INTERVAL|10 MAKE_TIME MAKE_TIMESTAMP|10 MAKE_TIMESTAMPTZ|10 NOW STATEMENT_TIMESTAMP|10 TIMEOFDAY TRANSACTION_TIMESTAMP|10 ENUM_FIRST ENUM_LAST ENUM_RANGE AREA CENTER DIAMETER HEIGHT ISCLOSED ISOPEN NPOINTS PCLOSE POPEN RADIUS WIDTH BOX BOUND_BOX CIRCLE LINE LSEG PATH POLYGON ABBREV BROADCAST HOST HOSTMASK MASKLEN NETMASK NETWORK SET_MASKLEN TEXT INET_SAME_FAMILY INET_MERGE MACADDR8_SET7BIT ARRAY_TO_TSVECTOR GET_CURRENT_TS_CONFIG NUMNODE PLAINTO_TSQUERY PHRASETO_TSQUERY WEBSEARCH_TO_TSQUERY QUERYTREE SETWEIGHT STRIP TO_TSQUERY TO_TSVECTOR JSON_TO_TSVECTOR JSONB_TO_TSVECTOR TS_DELETE TS_FILTER TS_HEADLINE TS_RANK TS_RANK_CD TS_REWRITE TSQUERY_PHRASE TSVECTOR_TO_ARRAY TSVECTOR_UPDATE_TRIGGER TSVECTOR_UPDATE_TRIGGER_COLUMN XMLCOMMENT XMLCONCAT XMLELEMENT XMLFOREST XMLPI XMLROOT XMLEXISTS XML_IS_WELL_FORMED XML_IS_WELL_FORMED_DOCUMENT XML_IS_WELL_FORMED_CONTENT XPATH XPATH_EXISTS XMLTABLE XMLNAMESPACES TABLE_TO_XML TABLE_TO_XMLSCHEMA TABLE_TO_XML_AND_XMLSCHEMA QUERY_TO_XML QUERY_TO_XMLSCHEMA QUERY_TO_XML_AND_XMLSCHEMA CURSOR_TO_XML CURSOR_TO_XMLSCHEMA SCHEMA_TO_XML SCHEMA_TO_XMLSCHEMA SCHEMA_TO_XML_AND_XMLSCHEMA DATABASE_TO_XML DATABASE_TO_XMLSCHEMA DATABASE_TO_XML_AND_XMLSCHEMA XMLATTRIBUTES TO_JSON TO_JSONB ARRAY_TO_JSON ROW_TO_JSON JSON_BUILD_ARRAY JSONB_BUILD_ARRAY JSON_BUILD_OBJECT JSONB_BUILD_OBJECT JSON_OBJECT JSONB_OBJECT JSON_ARRAY_LENGTH JSONB_ARRAY_LENGTH JSON_EACH JSONB_EACH JSON_EACH_TEXT JSONB_EACH_TEXT JSON_EXTRACT_PATH JSONB_EXTRACT_PATH JSON_OBJECT_KEYS JSONB_OBJECT_KEYS JSON_POPULATE_RECORD JSONB_POPULATE_RECORD JSON_POPULATE_RECORDSET JSONB_POPULATE_RECORDSET JSON_ARRAY_ELEMENTS JSONB_ARRAY_ELEMENTS JSON_ARRAY_ELEMENTS_TEXT JSONB_ARRAY_ELEMENTS_TEXT JSON_TYPEOF JSONB_TYPEOF JSON_TO_RECORD JSONB_TO_RECORD JSON_TO_RECORDSET JSONB_TO_RECORDSET JSON_STRIP_NULLS JSONB_STRIP_NULLS JSONB_SET JSONB_INSERT JSONB_PRETTY CURRVAL LASTVAL NEXTVAL SETVAL COALESCE NULLIF GREATEST LEAST ARRAY_APPEND ARRAY_CAT ARRAY_NDIMS ARRAY_DIMS ARRAY_FILL ARRAY_LENGTH ARRAY_LOWER ARRAY_POSITION ARRAY_POSITIONS ARRAY_PREPEND ARRAY_REMOVE ARRAY_REPLACE ARRAY_TO_STRING ARRAY_UPPER CARDINALITY STRING_TO_ARRAY UNNEST ISEMPTY LOWER_INC UPPER_INC LOWER_INF UPPER_INF RANGE_MERGE GENERATE_SERIES GENERATE_SUBSCRIPTS CURRENT_DATABASE CURRENT_QUERY CURRENT_SCHEMA|10 CURRENT_SCHEMAS|10 INET_CLIENT_ADDR INET_CLIENT_PORT INET_SERVER_ADDR INET_SERVER_PORT ROW_SECURITY_ACTIVE FORMAT_TYPE TO_REGCLASS TO_REGPROC TO_REGPROCEDURE TO_REGOPER TO_REGOPERATOR TO_REGTYPE TO_REGNAMESPACE TO_REGROLE COL_DESCRIPTION OBJ_DESCRIPTION SHOBJ_DESCRIPTION TXID_CURRENT TXID_CURRENT_IF_ASSIGNED TXID_CURRENT_SNAPSHOT TXID_SNAPSHOT_XIP TXID_SNAPSHOT_XMAX TXID_SNAPSHOT_XMIN TXID_VISIBLE_IN_SNAPSHOT TXID_STATUS CURRENT_SETTING SET_CONFIG BRIN_SUMMARIZE_NEW_VALUES BRIN_SUMMARIZE_RANGE BRIN_DESUMMARIZE_RANGE GIN_CLEAN_PENDING_LIST SUPPRESS_REDUNDANT_UPDATES_TRIGGER LO_FROM_BYTEA LO_PUT LO_GET LO_CREAT LO_CREATE LO_UNLINK LO_IMPORT LO_EXPORT LOREAD LOWRITE GROUPING CAST "
        );
        const FUNCTIONS_RE = FUNCTIONS.trim().split(" ").map(function(val) {
          return val.split("|")[0];
        }).join("|");
        return {
          name: "PostgreSQL",
          aliases: [
            "postgres",
            "postgresql"
          ],
          supersetOf: "sql",
          case_insensitive: true,
          keywords: {
            keyword: SQL_KW + PLPGSQL_KW + ROLE_ATTRS,
            built_in: SQL_BI + PLPGSQL_BI + PLPGSQL_EXCEPTIONS
          },
          // Forbid some cunstructs from other languages to improve autodetect. In fact
          // "[a-z]:" is legal (as part of array slice), but improbabal.
          illegal: /:==|\W\s*\(\*|(^|\s)\$[a-z]|\{\{|[a-z]:\s*$|\.\.\.|TO:|DO:/,
          contains: [
            // special handling of some words, which are reserved only in some contexts
            {
              className: "keyword",
              variants: [
                { begin: /\bTEXT\s*SEARCH\b/ },
                { begin: /\b(PRIMARY|FOREIGN|FOR(\s+NO)?)\s+KEY\b/ },
                { begin: /\bPARALLEL\s+(UNSAFE|RESTRICTED|SAFE)\b/ },
                { begin: /\bSTORAGE\s+(PLAIN|EXTERNAL|EXTENDED|MAIN)\b/ },
                { begin: /\bMATCH\s+(FULL|PARTIAL|SIMPLE)\b/ },
                { begin: /\bNULLS\s+(FIRST|LAST)\b/ },
                { begin: /\bEVENT\s+TRIGGER\b/ },
                { begin: /\b(MAPPING|OR)\s+REPLACE\b/ },
                { begin: /\b(FROM|TO)\s+(PROGRAM|STDIN|STDOUT)\b/ },
                { begin: /\b(SHARE|EXCLUSIVE)\s+MODE\b/ },
                { begin: /\b(LEFT|RIGHT)\s+(OUTER\s+)?JOIN\b/ },
                { begin: /\b(FETCH|MOVE)\s+(NEXT|PRIOR|FIRST|LAST|ABSOLUTE|RELATIVE|FORWARD|BACKWARD)\b/ },
                { begin: /\bPRESERVE\s+ROWS\b/ },
                { begin: /\bDISCARD\s+PLANS\b/ },
                { begin: /\bREFERENCING\s+(OLD|NEW)\b/ },
                { begin: /\bSKIP\s+LOCKED\b/ },
                { begin: /\bGROUPING\s+SETS\b/ },
                { begin: /\b(BINARY|INSENSITIVE|SCROLL|NO\s+SCROLL)\s+(CURSOR|FOR)\b/ },
                { begin: /\b(WITH|WITHOUT)\s+HOLD\b/ },
                { begin: /\bWITH\s+(CASCADED|LOCAL)\s+CHECK\s+OPTION\b/ },
                { begin: /\bEXCLUDE\s+(TIES|NO\s+OTHERS)\b/ },
                { begin: /\bFORMAT\s+(TEXT|XML|JSON|YAML)\b/ },
                { begin: /\bSET\s+((SESSION|LOCAL)\s+)?NAMES\b/ },
                { begin: /\bIS\s+(NOT\s+)?UNKNOWN\b/ },
                { begin: /\bSECURITY\s+LABEL\b/ },
                { begin: /\bSTANDALONE\s+(YES|NO|NO\s+VALUE)\b/ },
                { begin: /\bWITH\s+(NO\s+)?DATA\b/ },
                { begin: /\b(FOREIGN|SET)\s+DATA\b/ },
                { begin: /\bSET\s+(CATALOG|CONSTRAINTS)\b/ },
                { begin: /\b(WITH|FOR)\s+ORDINALITY\b/ },
                { begin: /\bIS\s+(NOT\s+)?DOCUMENT\b/ },
                { begin: /\bXML\s+OPTION\s+(DOCUMENT|CONTENT)\b/ },
                { begin: /\b(STRIP|PRESERVE)\s+WHITESPACE\b/ },
                { begin: /\bNO\s+(ACTION|MAXVALUE|MINVALUE)\b/ },
                { begin: /\bPARTITION\s+BY\s+(RANGE|LIST|HASH)\b/ },
                { begin: /\bAT\s+TIME\s+ZONE\b/ },
                { begin: /\bGRANTED\s+BY\b/ },
                { begin: /\bRETURN\s+(QUERY|NEXT)\b/ },
                { begin: /\b(ATTACH|DETACH)\s+PARTITION\b/ },
                { begin: /\bFORCE\s+ROW\s+LEVEL\s+SECURITY\b/ },
                { begin: /\b(INCLUDING|EXCLUDING)\s+(COMMENTS|CONSTRAINTS|DEFAULTS|IDENTITY|INDEXES|STATISTICS|STORAGE|ALL)\b/ },
                { begin: /\bAS\s+(ASSIGNMENT|IMPLICIT|PERMISSIVE|RESTRICTIVE|ENUM|RANGE)\b/ }
              ]
            },
            // functions named as keywords, followed by '('
            {
              begin: /\b(FORMAT|FAMILY|VERSION)\s*\(/
              // keywords: { built_in: 'FORMAT FAMILY VERSION' }
            },
            // INCLUDE ( ... ) in index_parameters in CREATE TABLE
            {
              begin: /\bINCLUDE\s*\(/,
              keywords: "INCLUDE"
            },
            // not highlight RANGE if not in frame_clause (not 100% correct, but seems satisfactory)
            { begin: /\bRANGE(?!\s*(BETWEEN|UNBOUNDED|CURRENT|[-0-9]+))/ },
            // disable highlighting in commands CREATE AGGREGATE/COLLATION/DATABASE/OPERTOR/TEXT SEARCH .../TYPE
            // and in PL/pgSQL RAISE ... USING
            { begin: /\b(VERSION|OWNER|TEMPLATE|TABLESPACE|CONNECTION\s+LIMIT|PROCEDURE|RESTRICT|JOIN|PARSER|COPY|START|END|COLLATION|INPUT|ANALYZE|STORAGE|LIKE|DEFAULT|DELIMITER|ENCODING|COLUMN|CONSTRAINT|TABLE|SCHEMA)\s*=/ },
            // PG_smth; HAS_some_PRIVILEGE
            {
              // className: 'built_in',
              begin: /\b(PG_\w+?|HAS_[A-Z_]+_PRIVILEGE)\b/,
              relevance: 10
            },
            // extract
            {
              begin: /\bEXTRACT\s*\(/,
              end: /\bFROM\b/,
              returnEnd: true,
              keywords: {
                // built_in: 'EXTRACT',
                type: "CENTURY DAY DECADE DOW DOY EPOCH HOUR ISODOW ISOYEAR MICROSECONDS MILLENNIUM MILLISECONDS MINUTE MONTH QUARTER SECOND TIMEZONE TIMEZONE_HOUR TIMEZONE_MINUTE WEEK YEAR"
              }
            },
            // xmlelement, xmlpi - special NAME
            {
              begin: /\b(XMLELEMENT|XMLPI)\s*\(\s*NAME/,
              keywords: {
                // built_in: 'XMLELEMENT XMLPI',
                keyword: "NAME"
              }
            },
            // xmlparse, xmlserialize
            {
              begin: /\b(XMLPARSE|XMLSERIALIZE)\s*\(\s*(DOCUMENT|CONTENT)/,
              keywords: {
                // built_in: 'XMLPARSE XMLSERIALIZE',
                keyword: "DOCUMENT CONTENT"
              }
            },
            // Sequences. We actually skip everything between CACHE|INCREMENT|MAXVALUE|MINVALUE and
            // nearest following numeric constant. Without with trick we find a lot of "keywords"
            // in 'avrasm' autodetection test...
            {
              beginKeywords: "CACHE INCREMENT MAXVALUE MINVALUE",
              end: hljs.C_NUMBER_RE,
              returnEnd: true,
              keywords: "BY CACHE INCREMENT MAXVALUE MINVALUE"
            },
            // WITH|WITHOUT TIME ZONE as part of datatype
            {
              className: "type",
              begin: /\b(WITH|WITHOUT)\s+TIME\s+ZONE\b/
            },
            // INTERVAL optional fields
            {
              className: "type",
              begin: /\bINTERVAL\s+(YEAR|MONTH|DAY|HOUR|MINUTE|SECOND)(\s+TO\s+(MONTH|HOUR|MINUTE|SECOND))?\b/
            },
            // Pseudo-types which allowed only as return type
            {
              begin: /\bRETURNS\s+(LANGUAGE_HANDLER|TRIGGER|EVENT_TRIGGER|FDW_HANDLER|INDEX_AM_HANDLER|TSM_HANDLER)\b/,
              keywords: {
                keyword: "RETURNS",
                type: "LANGUAGE_HANDLER TRIGGER EVENT_TRIGGER FDW_HANDLER INDEX_AM_HANDLER TSM_HANDLER"
              }
            },
            // Known functions - only when followed by '('
            {
              begin: "\\b(" + FUNCTIONS_RE + ")\\s*\\("
              // keywords: { built_in: FUNCTIONS }
            },
            // Types
            {
              begin: "\\.(" + TYPES_RE + ")\\b"
              // prevent highlight as type, say, 'oid' in 'pgclass.oid'
            },
            {
              begin: "\\b(" + TYPES_RE + ")\\s+PATH\\b",
              // in XMLTABLE
              keywords: {
                keyword: "PATH",
                // hopefully no one would use PATH type in XMLTABLE...
                type: TYPES.replace("PATH ", "")
              }
            },
            {
              className: "type",
              begin: "\\b(" + TYPES_RE + ")\\b"
            },
            // Strings, see https://www.postgresql.org/docs/11/static/sql-syntax-lexical.html#SQL-SYNTAX-CONSTANTS
            {
              className: "string",
              begin: "'",
              end: "'",
              contains: [{ begin: "''" }]
            },
            {
              className: "string",
              begin: "(e|E|u&|U&)'",
              end: "'",
              contains: [{ begin: "\\\\." }],
              relevance: 10
            },
            hljs.END_SAME_AS_BEGIN({
              begin: DOLLAR_STRING,
              end: DOLLAR_STRING,
              contains: [
                {
                  // actually we want them all except SQL; listed are those with known implementations
                  // and XML + JSON just in case
                  subLanguage: [
                    "pgsql",
                    "perl",
                    "python",
                    "tcl",
                    "r",
                    "lua",
                    "java",
                    "php",
                    "ruby",
                    "bash",
                    "scheme",
                    "xml",
                    "json"
                  ],
                  endsWithParent: true
                }
              ]
            }),
            // identifiers in quotes
            {
              begin: '"',
              end: '"',
              contains: [{ begin: '""' }]
            },
            // numbers
            hljs.C_NUMBER_MODE,
            // comments
            hljs.C_BLOCK_COMMENT_MODE,
            COMMENT_MODE,
            // PL/pgSQL staff
            // %ROWTYPE, %TYPE, $n
            {
              className: "meta",
              variants: [
                {
                  // %TYPE, %ROWTYPE
                  begin: "%(ROW)?TYPE",
                  relevance: 10
                },
                {
                  // $n
                  begin: "\\$\\d+"
                },
                {
                  // #compiler option
                  begin: "^#\\w",
                  end: "$"
                }
              ]
            },
            // <<labeles>>
            {
              className: "symbol",
              begin: LABEL,
              relevance: 10
            }
          ]
        };
      }
      module.exports = pgsql;
    }
  });

  // node_modules/highlight.js/lib/languages/php.js
  var require_php = __commonJS({
    "node_modules/highlight.js/lib/languages/php.js"(exports, module) {
      function php(hljs) {
        const regex = hljs.regex;
        const NOT_PERL_ETC = /(?![A-Za-z0-9])(?![$])/;
        const IDENT_RE = regex.concat(
          /[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*/,
          NOT_PERL_ETC
        );
        const PASCAL_CASE_CLASS_NAME_RE = regex.concat(
          /(\\?[A-Z][a-z0-9_\x7f-\xff]+|\\?[A-Z]+(?=[A-Z][a-z0-9_\x7f-\xff])){1,}/,
          NOT_PERL_ETC
        );
        const UPCASE_NAME_RE = regex.concat(
          /[A-Z]+/,
          NOT_PERL_ETC
        );
        const VARIABLE = {
          scope: "variable",
          match: "\\$+" + IDENT_RE
        };
        const PREPROCESSOR = {
          scope: "meta",
          variants: [
            { begin: /<\?php/, relevance: 10 },
            // boost for obvious PHP
            { begin: /<\?=/ },
            // less relevant per PSR-1 which says not to use short-tags
            { begin: /<\?/, relevance: 0.1 },
            { begin: /\?>/ }
            // end php tag
          ]
        };
        const SUBST = {
          scope: "subst",
          variants: [
            { begin: /\$\w+/ },
            {
              begin: /\{\$/,
              end: /\}/
            }
          ]
        };
        const SINGLE_QUOTED = hljs.inherit(hljs.APOS_STRING_MODE, { illegal: null });
        const DOUBLE_QUOTED = hljs.inherit(hljs.QUOTE_STRING_MODE, {
          illegal: null,
          contains: hljs.QUOTE_STRING_MODE.contains.concat(SUBST)
        });
        const HEREDOC = {
          begin: /<<<[ \t]*(?:(\w+)|"(\w+)")\n/,
          end: /[ \t]*(\w+)\b/,
          contains: hljs.QUOTE_STRING_MODE.contains.concat(SUBST),
          "on:begin": (m2, resp) => {
            resp.data._beginMatch = m2[1] || m2[2];
          },
          "on:end": (m2, resp) => {
            if (resp.data._beginMatch !== m2[1]) resp.ignoreMatch();
          }
        };
        const NOWDOC = hljs.END_SAME_AS_BEGIN({
          begin: /<<<[ \t]*'(\w+)'\n/,
          end: /[ \t]*(\w+)\b/
        });
        const WHITESPACE = "[ 	\n]";
        const STRING = {
          scope: "string",
          variants: [
            DOUBLE_QUOTED,
            SINGLE_QUOTED,
            HEREDOC,
            NOWDOC
          ]
        };
        const NUMBER = {
          scope: "number",
          variants: [
            { begin: `\\b0[bB][01]+(?:_[01]+)*\\b` },
            // Binary w/ underscore support
            { begin: `\\b0[oO][0-7]+(?:_[0-7]+)*\\b` },
            // Octals w/ underscore support
            { begin: `\\b0[xX][\\da-fA-F]+(?:_[\\da-fA-F]+)*\\b` },
            // Hex w/ underscore support
            // Decimals w/ underscore support, with optional fragments and scientific exponent (e) suffix.
            { begin: `(?:\\b\\d+(?:_\\d+)*(\\.(?:\\d+(?:_\\d+)*))?|\\B\\.\\d+)(?:[eE][+-]?\\d+)?` }
          ],
          relevance: 0
        };
        const LITERALS = [
          "false",
          "null",
          "true"
        ];
        const KWS = [
          // Magic constants:
          // <https://www.php.net/manual/en/language.constants.predefined.php>
          "__CLASS__",
          "__DIR__",
          "__FILE__",
          "__FUNCTION__",
          "__COMPILER_HALT_OFFSET__",
          "__LINE__",
          "__METHOD__",
          "__NAMESPACE__",
          "__TRAIT__",
          // Function that look like language construct or language construct that look like function:
          // List of keywords that may not require parenthesis
          "die",
          "echo",
          "exit",
          "include",
          "include_once",
          "print",
          "require",
          "require_once",
          // These are not language construct (function) but operate on the currently-executing function and can access the current symbol table
          // 'compact extract func_get_arg func_get_args func_num_args get_called_class get_parent_class ' +
          // Other keywords:
          // <https://www.php.net/manual/en/reserved.php>
          // <https://www.php.net/manual/en/language.types.type-juggling.php>
          "array",
          "abstract",
          "and",
          "as",
          "binary",
          "bool",
          "boolean",
          "break",
          "callable",
          "case",
          "catch",
          "class",
          "clone",
          "const",
          "continue",
          "declare",
          "default",
          "do",
          "double",
          "else",
          "elseif",
          "empty",
          "enddeclare",
          "endfor",
          "endforeach",
          "endif",
          "endswitch",
          "endwhile",
          "enum",
          "eval",
          "extends",
          "final",
          "finally",
          "float",
          "for",
          "foreach",
          "from",
          "global",
          "goto",
          "if",
          "implements",
          "instanceof",
          "insteadof",
          "int",
          "integer",
          "interface",
          "isset",
          "iterable",
          "list",
          "match|0",
          "mixed",
          "new",
          "never",
          "object",
          "or",
          "private",
          "protected",
          "public",
          "readonly",
          "real",
          "return",
          "string",
          "switch",
          "throw",
          "trait",
          "try",
          "unset",
          "use",
          "var",
          "void",
          "while",
          "xor",
          "yield"
        ];
        const BUILT_INS = [
          // Standard PHP library:
          // <https://www.php.net/manual/en/book.spl.php>
          "Error|0",
          "AppendIterator",
          "ArgumentCountError",
          "ArithmeticError",
          "ArrayIterator",
          "ArrayObject",
          "AssertionError",
          "BadFunctionCallException",
          "BadMethodCallException",
          "CachingIterator",
          "CallbackFilterIterator",
          "CompileError",
          "Countable",
          "DirectoryIterator",
          "DivisionByZeroError",
          "DomainException",
          "EmptyIterator",
          "ErrorException",
          "Exception",
          "FilesystemIterator",
          "FilterIterator",
          "GlobIterator",
          "InfiniteIterator",
          "InvalidArgumentException",
          "IteratorIterator",
          "LengthException",
          "LimitIterator",
          "LogicException",
          "MultipleIterator",
          "NoRewindIterator",
          "OutOfBoundsException",
          "OutOfRangeException",
          "OuterIterator",
          "OverflowException",
          "ParentIterator",
          "ParseError",
          "RangeException",
          "RecursiveArrayIterator",
          "RecursiveCachingIterator",
          "RecursiveCallbackFilterIterator",
          "RecursiveDirectoryIterator",
          "RecursiveFilterIterator",
          "RecursiveIterator",
          "RecursiveIteratorIterator",
          "RecursiveRegexIterator",
          "RecursiveTreeIterator",
          "RegexIterator",
          "RuntimeException",
          "SeekableIterator",
          "SplDoublyLinkedList",
          "SplFileInfo",
          "SplFileObject",
          "SplFixedArray",
          "SplHeap",
          "SplMaxHeap",
          "SplMinHeap",
          "SplObjectStorage",
          "SplObserver",
          "SplPriorityQueue",
          "SplQueue",
          "SplStack",
          "SplSubject",
          "SplTempFileObject",
          "TypeError",
          "UnderflowException",
          "UnexpectedValueException",
          "UnhandledMatchError",
          // Reserved interfaces:
          // <https://www.php.net/manual/en/reserved.interfaces.php>
          "ArrayAccess",
          "BackedEnum",
          "Closure",
          "Fiber",
          "Generator",
          "Iterator",
          "IteratorAggregate",
          "Serializable",
          "Stringable",
          "Throwable",
          "Traversable",
          "UnitEnum",
          "WeakReference",
          "WeakMap",
          // Reserved classes:
          // <https://www.php.net/manual/en/reserved.classes.php>
          "Directory",
          "__PHP_Incomplete_Class",
          "parent",
          "php_user_filter",
          "self",
          "static",
          "stdClass"
        ];
        const dualCase = (items) => {
          const result = [];
          items.forEach((item) => {
            result.push(item);
            if (item.toLowerCase() === item) {
              result.push(item.toUpperCase());
            } else {
              result.push(item.toLowerCase());
            }
          });
          return result;
        };
        const KEYWORDS = {
          keyword: KWS,
          literal: dualCase(LITERALS),
          built_in: BUILT_INS
        };
        const normalizeKeywords = (items) => {
          return items.map((item) => {
            return item.replace(/\|\d+$/, "");
          });
        };
        const CONSTRUCTOR_CALL = { variants: [
          {
            match: [
              /new/,
              regex.concat(WHITESPACE, "+"),
              // to prevent built ins from being confused as the class constructor call
              regex.concat("(?!", normalizeKeywords(BUILT_INS).join("\\b|"), "\\b)"),
              PASCAL_CASE_CLASS_NAME_RE
            ],
            scope: {
              1: "keyword",
              4: "title.class"
            }
          }
        ] };
        const CONSTANT_REFERENCE = regex.concat(IDENT_RE, "\\b(?!\\()");
        const LEFT_AND_RIGHT_SIDE_OF_DOUBLE_COLON = { variants: [
          {
            match: [
              regex.concat(
                /::/,
                regex.lookahead(/(?!class\b)/)
              ),
              CONSTANT_REFERENCE
            ],
            scope: { 2: "variable.constant" }
          },
          {
            match: [
              /::/,
              /class/
            ],
            scope: { 2: "variable.language" }
          },
          {
            match: [
              PASCAL_CASE_CLASS_NAME_RE,
              regex.concat(
                /::/,
                regex.lookahead(/(?!class\b)/)
              ),
              CONSTANT_REFERENCE
            ],
            scope: {
              1: "title.class",
              3: "variable.constant"
            }
          },
          {
            match: [
              PASCAL_CASE_CLASS_NAME_RE,
              regex.concat(
                "::",
                regex.lookahead(/(?!class\b)/)
              )
            ],
            scope: { 1: "title.class" }
          },
          {
            match: [
              PASCAL_CASE_CLASS_NAME_RE,
              /::/,
              /class/
            ],
            scope: {
              1: "title.class",
              3: "variable.language"
            }
          }
        ] };
        const NAMED_ARGUMENT = {
          scope: "attr",
          match: regex.concat(IDENT_RE, regex.lookahead(":"), regex.lookahead(/(?!::)/))
        };
        const PARAMS_MODE = {
          relevance: 0,
          begin: /\(/,
          end: /\)/,
          keywords: KEYWORDS,
          contains: [
            NAMED_ARGUMENT,
            VARIABLE,
            LEFT_AND_RIGHT_SIDE_OF_DOUBLE_COLON,
            hljs.C_BLOCK_COMMENT_MODE,
            STRING,
            NUMBER,
            CONSTRUCTOR_CALL
          ]
        };
        const FUNCTION_INVOKE = {
          relevance: 0,
          match: [
            /\b/,
            // to prevent keywords from being confused as the function title
            regex.concat("(?!fn\\b|function\\b|", normalizeKeywords(KWS).join("\\b|"), "|", normalizeKeywords(BUILT_INS).join("\\b|"), "\\b)"),
            IDENT_RE,
            regex.concat(WHITESPACE, "*"),
            regex.lookahead(/(?=\()/)
          ],
          scope: { 3: "title.function.invoke" },
          contains: [PARAMS_MODE]
        };
        PARAMS_MODE.contains.push(FUNCTION_INVOKE);
        const ATTRIBUTE_CONTAINS = [
          NAMED_ARGUMENT,
          LEFT_AND_RIGHT_SIDE_OF_DOUBLE_COLON,
          hljs.C_BLOCK_COMMENT_MODE,
          STRING,
          NUMBER,
          CONSTRUCTOR_CALL
        ];
        const ATTRIBUTES = {
          begin: regex.concat(
            /#\[\s*\\?/,
            regex.either(
              PASCAL_CASE_CLASS_NAME_RE,
              UPCASE_NAME_RE
            )
          ),
          beginScope: "meta",
          end: /]/,
          endScope: "meta",
          keywords: {
            literal: LITERALS,
            keyword: [
              "new",
              "array"
            ]
          },
          contains: [
            {
              begin: /\[/,
              end: /]/,
              keywords: {
                literal: LITERALS,
                keyword: [
                  "new",
                  "array"
                ]
              },
              contains: [
                "self",
                ...ATTRIBUTE_CONTAINS
              ]
            },
            ...ATTRIBUTE_CONTAINS,
            {
              scope: "meta",
              variants: [
                { match: PASCAL_CASE_CLASS_NAME_RE },
                { match: UPCASE_NAME_RE }
              ]
            }
          ]
        };
        return {
          case_insensitive: false,
          keywords: KEYWORDS,
          contains: [
            ATTRIBUTES,
            hljs.HASH_COMMENT_MODE,
            hljs.COMMENT("//", "$"),
            hljs.COMMENT(
              "/\\*",
              "\\*/",
              { contains: [
                {
                  scope: "doctag",
                  match: "@[A-Za-z]+"
                }
              ] }
            ),
            {
              match: /__halt_compiler\(\);/,
              keywords: "__halt_compiler",
              starts: {
                scope: "comment",
                end: hljs.MATCH_NOTHING_RE,
                contains: [
                  {
                    match: /\?>/,
                    scope: "meta",
                    endsParent: true
                  }
                ]
              }
            },
            PREPROCESSOR,
            {
              scope: "variable.language",
              match: /\$this\b/
            },
            VARIABLE,
            FUNCTION_INVOKE,
            LEFT_AND_RIGHT_SIDE_OF_DOUBLE_COLON,
            {
              match: [
                /const/,
                /\s/,
                IDENT_RE
              ],
              scope: {
                1: "keyword",
                3: "variable.constant"
              }
            },
            CONSTRUCTOR_CALL,
            {
              scope: "function",
              relevance: 0,
              beginKeywords: "fn function",
              end: /[;{]/,
              excludeEnd: true,
              illegal: "[$%\\[]",
              contains: [
                { beginKeywords: "use" },
                hljs.UNDERSCORE_TITLE_MODE,
                {
                  begin: "=>",
                  // No markup, just a relevance booster
                  endsParent: true
                },
                {
                  scope: "params",
                  begin: "\\(",
                  end: "\\)",
                  excludeBegin: true,
                  excludeEnd: true,
                  keywords: KEYWORDS,
                  contains: [
                    "self",
                    ATTRIBUTES,
                    VARIABLE,
                    LEFT_AND_RIGHT_SIDE_OF_DOUBLE_COLON,
                    hljs.C_BLOCK_COMMENT_MODE,
                    STRING,
                    NUMBER
                  ]
                }
              ]
            },
            {
              scope: "class",
              variants: [
                {
                  beginKeywords: "enum",
                  illegal: /[($"]/
                },
                {
                  beginKeywords: "class interface trait",
                  illegal: /[:($"]/
                }
              ],
              relevance: 0,
              end: /\{/,
              excludeEnd: true,
              contains: [
                { beginKeywords: "extends implements" },
                hljs.UNDERSCORE_TITLE_MODE
              ]
            },
            // both use and namespace still use "old style" rules (vs multi-match)
            // because the namespace name can include `\` and we still want each
            // element to be treated as its own *individual* title
            {
              beginKeywords: "namespace",
              relevance: 0,
              end: ";",
              illegal: /[.']/,
              contains: [hljs.inherit(hljs.UNDERSCORE_TITLE_MODE, { scope: "title.class" })]
            },
            {
              beginKeywords: "use",
              relevance: 0,
              end: ";",
              contains: [
                // TODO: title.function vs title.class
                {
                  match: /\b(as|const|function)\b/,
                  scope: "keyword"
                },
                // TODO: could be title.class or title.function
                hljs.UNDERSCORE_TITLE_MODE
              ]
            },
            STRING,
            NUMBER
          ]
        };
      }
      module.exports = php;
    }
  });

  // node_modules/highlight.js/lib/languages/php-template.js
  var require_php_template = __commonJS({
    "node_modules/highlight.js/lib/languages/php-template.js"(exports, module) {
      function phpTemplate(hljs) {
        return {
          name: "PHP template",
          subLanguage: "xml",
          contains: [
            {
              begin: /<\?(php|=)?/,
              end: /\?>/,
              subLanguage: "php",
              contains: [
                // We don't want the php closing tag ?> to close the PHP block when
                // inside any of the following blocks:
                {
                  begin: "/\\*",
                  end: "\\*/",
                  skip: true
                },
                {
                  begin: 'b"',
                  end: '"',
                  skip: true
                },
                {
                  begin: "b'",
                  end: "'",
                  skip: true
                },
                hljs.inherit(hljs.APOS_STRING_MODE, {
                  illegal: null,
                  className: null,
                  contains: null,
                  skip: true
                }),
                hljs.inherit(hljs.QUOTE_STRING_MODE, {
                  illegal: null,
                  className: null,
                  contains: null,
                  skip: true
                })
              ]
            }
          ]
        };
      }
      module.exports = phpTemplate;
    }
  });

  // node_modules/highlight.js/lib/languages/plaintext.js
  var require_plaintext = __commonJS({
    "node_modules/highlight.js/lib/languages/plaintext.js"(exports, module) {
      function plaintext(hljs) {
        return {
          name: "Plain text",
          aliases: [
            "text",
            "txt"
          ],
          disableAutodetect: true
        };
      }
      module.exports = plaintext;
    }
  });

  // node_modules/highlight.js/lib/languages/pony.js
  var require_pony = __commonJS({
    "node_modules/highlight.js/lib/languages/pony.js"(exports, module) {
      function pony(hljs) {
        const KEYWORDS = {
          keyword: "actor addressof and as be break class compile_error compile_intrinsic consume continue delegate digestof do else elseif embed end error for fun if ifdef in interface is isnt lambda let match new not object or primitive recover repeat return struct then trait try type until use var where while with xor",
          meta: "iso val tag trn box ref",
          literal: "this false true"
        };
        const TRIPLE_QUOTE_STRING_MODE = {
          className: "string",
          begin: '"""',
          end: '"""',
          relevance: 10
        };
        const QUOTE_STRING_MODE = {
          className: "string",
          begin: '"',
          end: '"',
          contains: [hljs.BACKSLASH_ESCAPE]
        };
        const SINGLE_QUOTE_CHAR_MODE = {
          className: "string",
          begin: "'",
          end: "'",
          contains: [hljs.BACKSLASH_ESCAPE],
          relevance: 0
        };
        const TYPE_NAME = {
          className: "type",
          begin: "\\b_?[A-Z][\\w]*",
          relevance: 0
        };
        const PRIMED_NAME = {
          begin: hljs.IDENT_RE + "'",
          relevance: 0
        };
        const NUMBER_MODE = {
          className: "number",
          begin: "(-?)(\\b0[xX][a-fA-F0-9]+|\\b0[bB][01]+|(\\b\\d+(_\\d+)?(\\.\\d*)?|\\.\\d+)([eE][-+]?\\d+)?)",
          relevance: 0
        };
        return {
          name: "Pony",
          keywords: KEYWORDS,
          contains: [
            TYPE_NAME,
            TRIPLE_QUOTE_STRING_MODE,
            QUOTE_STRING_MODE,
            SINGLE_QUOTE_CHAR_MODE,
            PRIMED_NAME,
            NUMBER_MODE,
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE
          ]
        };
      }
      module.exports = pony;
    }
  });

  // node_modules/highlight.js/lib/languages/powershell.js
  var require_powershell = __commonJS({
    "node_modules/highlight.js/lib/languages/powershell.js"(exports, module) {
      function powershell(hljs) {
        const TYPES = [
          "string",
          "char",
          "byte",
          "int",
          "long",
          "bool",
          "decimal",
          "single",
          "double",
          "DateTime",
          "xml",
          "array",
          "hashtable",
          "void"
        ];
        const VALID_VERBS = "Add|Clear|Close|Copy|Enter|Exit|Find|Format|Get|Hide|Join|Lock|Move|New|Open|Optimize|Pop|Push|Redo|Remove|Rename|Reset|Resize|Search|Select|Set|Show|Skip|Split|Step|Switch|Undo|Unlock|Watch|Backup|Checkpoint|Compare|Compress|Convert|ConvertFrom|ConvertTo|Dismount|Edit|Expand|Export|Group|Import|Initialize|Limit|Merge|Mount|Out|Publish|Restore|Save|Sync|Unpublish|Update|Approve|Assert|Build|Complete|Confirm|Deny|Deploy|Disable|Enable|Install|Invoke|Register|Request|Restart|Resume|Start|Stop|Submit|Suspend|Uninstall|Unregister|Wait|Debug|Measure|Ping|Repair|Resolve|Test|Trace|Connect|Disconnect|Read|Receive|Send|Write|Block|Grant|Protect|Revoke|Unblock|Unprotect|Use|ForEach|Sort|Tee|Where";
        const COMPARISON_OPERATORS = "-and|-as|-band|-bnot|-bor|-bxor|-casesensitive|-ccontains|-ceq|-cge|-cgt|-cle|-clike|-clt|-cmatch|-cne|-cnotcontains|-cnotlike|-cnotmatch|-contains|-creplace|-csplit|-eq|-exact|-f|-file|-ge|-gt|-icontains|-ieq|-ige|-igt|-ile|-ilike|-ilt|-imatch|-in|-ine|-inotcontains|-inotlike|-inotmatch|-ireplace|-is|-isnot|-isplit|-join|-le|-like|-lt|-match|-ne|-not|-notcontains|-notin|-notlike|-notmatch|-or|-regex|-replace|-shl|-shr|-split|-wildcard|-xor";
        const KEYWORDS = {
          $pattern: /-?[A-z\.\-]+\b/,
          keyword: "if else foreach return do while until elseif begin for trap data dynamicparam end break throw param continue finally in switch exit filter try process catch hidden static parameter",
          // "echo" relevance has been set to 0 to avoid auto-detect conflicts with shell transcripts
          built_in: "ac asnp cat cd CFS chdir clc clear clhy cli clp cls clv cnsn compare copy cp cpi cpp curl cvpa dbp del diff dir dnsn ebp echo|0 epal epcsv epsn erase etsn exsn fc fhx fl ft fw gal gbp gc gcb gci gcm gcs gdr gerr ghy gi gin gjb gl gm gmo gp gps gpv group gsn gsnp gsv gtz gu gv gwmi h history icm iex ihy ii ipal ipcsv ipmo ipsn irm ise iwmi iwr kill lp ls man md measure mi mount move mp mv nal ndr ni nmo npssc nsn nv ogv oh popd ps pushd pwd r rbp rcjb rcsn rd rdr ren ri rjb rm rmdir rmo rni rnp rp rsn rsnp rujb rv rvpa rwmi sajb sal saps sasv sbp sc scb select set shcm si sl sleep sls sort sp spjb spps spsv start stz sujb sv swmi tee trcm type wget where wjb write"
          // TODO: 'validate[A-Z]+' can't work in keywords
        };
        const TITLE_NAME_RE = /\w[\w\d]*((-)[\w\d]+)*/;
        const BACKTICK_ESCAPE = {
          begin: "`[\\s\\S]",
          relevance: 0
        };
        const VAR = {
          className: "variable",
          variants: [
            { begin: /\$\B/ },
            {
              className: "keyword",
              begin: /\$this/
            },
            { begin: /\$[\w\d][\w\d_:]*/ }
          ]
        };
        const LITERAL = {
          className: "literal",
          begin: /\$(null|true|false)\b/
        };
        const QUOTE_STRING = {
          className: "string",
          variants: [
            {
              begin: /"/,
              end: /"/
            },
            {
              begin: /@"/,
              end: /^"@/
            }
          ],
          contains: [
            BACKTICK_ESCAPE,
            VAR,
            {
              className: "variable",
              begin: /\$[A-z]/,
              end: /[^A-z]/
            }
          ]
        };
        const APOS_STRING = {
          className: "string",
          variants: [
            {
              begin: /'/,
              end: /'/
            },
            {
              begin: /@'/,
              end: /^'@/
            }
          ]
        };
        const PS_HELPTAGS = {
          className: "doctag",
          variants: [
            /* no paramater help tags */
            { begin: /\.(synopsis|description|example|inputs|outputs|notes|link|component|role|functionality)/ },
            /* one parameter help tags */
            { begin: /\.(parameter|forwardhelptargetname|forwardhelpcategory|remotehelprunspace|externalhelp)\s+\S+/ }
          ]
        };
        const PS_COMMENT = hljs.inherit(
          hljs.COMMENT(null, null),
          {
            variants: [
              /* single-line comment */
              {
                begin: /#/,
                end: /$/
              },
              /* multi-line comment */
              {
                begin: /<#/,
                end: /#>/
              }
            ],
            contains: [PS_HELPTAGS]
          }
        );
        const CMDLETS = {
          className: "built_in",
          variants: [{ begin: "(".concat(VALID_VERBS, ")+(-)[\\w\\d]+") }]
        };
        const PS_CLASS = {
          className: "class",
          beginKeywords: "class enum",
          end: /\s*[{]/,
          excludeEnd: true,
          relevance: 0,
          contains: [hljs.TITLE_MODE]
        };
        const PS_FUNCTION = {
          className: "function",
          begin: /function\s+/,
          end: /\s*\{|$/,
          excludeEnd: true,
          returnBegin: true,
          relevance: 0,
          contains: [
            {
              begin: "function",
              relevance: 0,
              className: "keyword"
            },
            {
              className: "title",
              begin: TITLE_NAME_RE,
              relevance: 0
            },
            {
              begin: /\(/,
              end: /\)/,
              className: "params",
              relevance: 0,
              contains: [VAR]
            }
            // CMDLETS
          ]
        };
        const PS_USING = {
          begin: /using\s/,
          end: /$/,
          returnBegin: true,
          contains: [
            QUOTE_STRING,
            APOS_STRING,
            {
              className: "keyword",
              begin: /(using|assembly|command|module|namespace|type)/
            }
          ]
        };
        const PS_ARGUMENTS = { variants: [
          // PS literals are pretty verbose so it's a good idea to accent them a bit.
          {
            className: "operator",
            begin: "(".concat(COMPARISON_OPERATORS, ")\\b")
          },
          {
            className: "literal",
            begin: /(-){1,2}[\w\d-]+/,
            relevance: 0
          }
        ] };
        const HASH_SIGNS = {
          className: "selector-tag",
          begin: /@\B/,
          relevance: 0
        };
        const PS_METHODS = {
          className: "function",
          begin: /\[.*\]\s*[\w]+[ ]??\(/,
          end: /$/,
          returnBegin: true,
          relevance: 0,
          contains: [
            {
              className: "keyword",
              begin: "(".concat(
                KEYWORDS.keyword.toString().replace(
                  /\s/g,
                  "|"
                ),
                ")\\b"
              ),
              endsParent: true,
              relevance: 0
            },
            hljs.inherit(hljs.TITLE_MODE, { endsParent: true })
          ]
        };
        const GENTLEMANS_SET = [
          // STATIC_MEMBER,
          PS_METHODS,
          PS_COMMENT,
          BACKTICK_ESCAPE,
          hljs.NUMBER_MODE,
          QUOTE_STRING,
          APOS_STRING,
          // PS_NEW_OBJECT_TYPE,
          CMDLETS,
          VAR,
          LITERAL,
          HASH_SIGNS
        ];
        const PS_TYPE = {
          begin: /\[/,
          end: /\]/,
          excludeBegin: true,
          excludeEnd: true,
          relevance: 0,
          contains: [].concat(
            "self",
            GENTLEMANS_SET,
            {
              begin: "(" + TYPES.join("|") + ")",
              className: "built_in",
              relevance: 0
            },
            {
              className: "type",
              begin: /[\.\w\d]+/,
              relevance: 0
            }
          )
        };
        PS_METHODS.contains.unshift(PS_TYPE);
        return {
          name: "PowerShell",
          aliases: [
            "pwsh",
            "ps",
            "ps1"
          ],
          case_insensitive: true,
          keywords: KEYWORDS,
          contains: GENTLEMANS_SET.concat(
            PS_CLASS,
            PS_FUNCTION,
            PS_USING,
            PS_ARGUMENTS,
            PS_TYPE
          )
        };
      }
      module.exports = powershell;
    }
  });

  // node_modules/highlight.js/lib/languages/processing.js
  var require_processing = __commonJS({
    "node_modules/highlight.js/lib/languages/processing.js"(exports, module) {
      function processing(hljs) {
        const regex = hljs.regex;
        const BUILT_INS = [
          "displayHeight",
          "displayWidth",
          "mouseY",
          "mouseX",
          "mousePressed",
          "pmouseX",
          "pmouseY",
          "key",
          "keyCode",
          "pixels",
          "focused",
          "frameCount",
          "frameRate",
          "height",
          "width",
          "size",
          "createGraphics",
          "beginDraw",
          "createShape",
          "loadShape",
          "PShape",
          "arc",
          "ellipse",
          "line",
          "point",
          "quad",
          "rect",
          "triangle",
          "bezier",
          "bezierDetail",
          "bezierPoint",
          "bezierTangent",
          "curve",
          "curveDetail",
          "curvePoint",
          "curveTangent",
          "curveTightness",
          "shape",
          "shapeMode",
          "beginContour",
          "beginShape",
          "bezierVertex",
          "curveVertex",
          "endContour",
          "endShape",
          "quadraticVertex",
          "vertex",
          "ellipseMode",
          "noSmooth",
          "rectMode",
          "smooth",
          "strokeCap",
          "strokeJoin",
          "strokeWeight",
          "mouseClicked",
          "mouseDragged",
          "mouseMoved",
          "mousePressed",
          "mouseReleased",
          "mouseWheel",
          "keyPressed",
          "keyPressedkeyReleased",
          "keyTyped",
          "print",
          "println",
          "save",
          "saveFrame",
          "day",
          "hour",
          "millis",
          "minute",
          "month",
          "second",
          "year",
          "background",
          "clear",
          "colorMode",
          "fill",
          "noFill",
          "noStroke",
          "stroke",
          "alpha",
          "blue",
          "brightness",
          "color",
          "green",
          "hue",
          "lerpColor",
          "red",
          "saturation",
          "modelX",
          "modelY",
          "modelZ",
          "screenX",
          "screenY",
          "screenZ",
          "ambient",
          "emissive",
          "shininess",
          "specular",
          "add",
          "createImage",
          "beginCamera",
          "camera",
          "endCamera",
          "frustum",
          "ortho",
          "perspective",
          "printCamera",
          "printProjection",
          "cursor",
          "frameRate",
          "noCursor",
          "exit",
          "loop",
          "noLoop",
          "popStyle",
          "pushStyle",
          "redraw",
          "binary",
          "boolean",
          "byte",
          "char",
          "float",
          "hex",
          "int",
          "str",
          "unbinary",
          "unhex",
          "join",
          "match",
          "matchAll",
          "nf",
          "nfc",
          "nfp",
          "nfs",
          "split",
          "splitTokens",
          "trim",
          "append",
          "arrayCopy",
          "concat",
          "expand",
          "reverse",
          "shorten",
          "sort",
          "splice",
          "subset",
          "box",
          "sphere",
          "sphereDetail",
          "createInput",
          "createReader",
          "loadBytes",
          "loadJSONArray",
          "loadJSONObject",
          "loadStrings",
          "loadTable",
          "loadXML",
          "open",
          "parseXML",
          "saveTable",
          "selectFolder",
          "selectInput",
          "beginRaw",
          "beginRecord",
          "createOutput",
          "createWriter",
          "endRaw",
          "endRecord",
          "PrintWritersaveBytes",
          "saveJSONArray",
          "saveJSONObject",
          "saveStream",
          "saveStrings",
          "saveXML",
          "selectOutput",
          "popMatrix",
          "printMatrix",
          "pushMatrix",
          "resetMatrix",
          "rotate",
          "rotateX",
          "rotateY",
          "rotateZ",
          "scale",
          "shearX",
          "shearY",
          "translate",
          "ambientLight",
          "directionalLight",
          "lightFalloff",
          "lights",
          "lightSpecular",
          "noLights",
          "normal",
          "pointLight",
          "spotLight",
          "image",
          "imageMode",
          "loadImage",
          "noTint",
          "requestImage",
          "tint",
          "texture",
          "textureMode",
          "textureWrap",
          "blend",
          "copy",
          "filter",
          "get",
          "loadPixels",
          "set",
          "updatePixels",
          "blendMode",
          "loadShader",
          "PShaderresetShader",
          "shader",
          "createFont",
          "loadFont",
          "text",
          "textFont",
          "textAlign",
          "textLeading",
          "textMode",
          "textSize",
          "textWidth",
          "textAscent",
          "textDescent",
          "abs",
          "ceil",
          "constrain",
          "dist",
          "exp",
          "floor",
          "lerp",
          "log",
          "mag",
          "map",
          "max",
          "min",
          "norm",
          "pow",
          "round",
          "sq",
          "sqrt",
          "acos",
          "asin",
          "atan",
          "atan2",
          "cos",
          "degrees",
          "radians",
          "sin",
          "tan",
          "noise",
          "noiseDetail",
          "noiseSeed",
          "random",
          "randomGaussian",
          "randomSeed"
        ];
        const IDENT = hljs.IDENT_RE;
        const FUNC_NAME = { variants: [
          {
            match: regex.concat(regex.either(...BUILT_INS), regex.lookahead(/\s*\(/)),
            className: "built_in"
          },
          {
            relevance: 0,
            match: regex.concat(
              /\b(?!for|if|while)/,
              IDENT,
              regex.lookahead(/\s*\(/)
            ),
            className: "title.function"
          }
        ] };
        const NEW_CLASS = {
          match: [
            /new\s+/,
            IDENT
          ],
          className: {
            1: "keyword",
            2: "class.title"
          }
        };
        const PROPERTY = {
          relevance: 0,
          match: [
            /\./,
            IDENT
          ],
          className: { 2: "property" }
        };
        const CLASS = {
          variants: [
            { match: [
              /class/,
              /\s+/,
              IDENT,
              /\s+/,
              /extends/,
              /\s+/,
              IDENT
            ] },
            { match: [
              /class/,
              /\s+/,
              IDENT
            ] }
          ],
          className: {
            1: "keyword",
            3: "title.class",
            5: "keyword",
            7: "title.class.inherited"
          }
        };
        const TYPES = [
          "boolean",
          "byte",
          "char",
          "color",
          "double",
          "float",
          "int",
          "long",
          "short"
        ];
        const CLASSES = [
          "BufferedReader",
          "PVector",
          "PFont",
          "PImage",
          "PGraphics",
          "HashMap",
          "String",
          "Array",
          "FloatDict",
          "ArrayList",
          "FloatList",
          "IntDict",
          "IntList",
          "JSONArray",
          "JSONObject",
          "Object",
          "StringDict",
          "StringList",
          "Table",
          "TableRow",
          "XML"
        ];
        const JAVA_KEYWORDS = [
          "abstract",
          "assert",
          "break",
          "case",
          "catch",
          "const",
          "continue",
          "default",
          "else",
          "enum",
          "final",
          "finally",
          "for",
          "if",
          "import",
          "instanceof",
          "long",
          "native",
          "new",
          "package",
          "private",
          "private",
          "protected",
          "protected",
          "public",
          "public",
          "return",
          "static",
          "strictfp",
          "switch",
          "synchronized",
          "throw",
          "throws",
          "transient",
          "try",
          "void",
          "volatile",
          "while"
        ];
        return {
          name: "Processing",
          aliases: ["pde"],
          keywords: {
            keyword: [...JAVA_KEYWORDS],
            literal: "P2D P3D HALF_PI PI QUARTER_PI TAU TWO_PI null true false",
            title: "setup draw",
            variable: "super this",
            built_in: [
              ...BUILT_INS,
              ...CLASSES
            ],
            type: TYPES
          },
          contains: [
            CLASS,
            NEW_CLASS,
            FUNC_NAME,
            PROPERTY,
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.C_NUMBER_MODE
          ]
        };
      }
      module.exports = processing;
    }
  });

  // node_modules/highlight.js/lib/languages/profile.js
  var require_profile = __commonJS({
    "node_modules/highlight.js/lib/languages/profile.js"(exports, module) {
      function profile(hljs) {
        return {
          name: "Python profiler",
          contains: [
            hljs.C_NUMBER_MODE,
            {
              begin: "[a-zA-Z_][\\da-zA-Z_]+\\.[\\da-zA-Z_]{1,3}",
              end: ":",
              excludeEnd: true
            },
            {
              begin: "(ncalls|tottime|cumtime)",
              end: "$",
              keywords: "ncalls tottime|10 cumtime|10 filename",
              relevance: 10
            },
            {
              begin: "function calls",
              end: "$",
              contains: [hljs.C_NUMBER_MODE],
              relevance: 10
            },
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            {
              className: "string",
              begin: "\\(",
              end: "\\)$",
              excludeBegin: true,
              excludeEnd: true,
              relevance: 0
            }
          ]
        };
      }
      module.exports = profile;
    }
  });

  // node_modules/highlight.js/lib/languages/prolog.js
  var require_prolog = __commonJS({
    "node_modules/highlight.js/lib/languages/prolog.js"(exports, module) {
      function prolog(hljs) {
        const ATOM = {
          begin: /[a-z][A-Za-z0-9_]*/,
          relevance: 0
        };
        const VAR = {
          className: "symbol",
          variants: [
            { begin: /[A-Z][a-zA-Z0-9_]*/ },
            { begin: /_[A-Za-z0-9_]*/ }
          ],
          relevance: 0
        };
        const PARENTED = {
          begin: /\(/,
          end: /\)/,
          relevance: 0
        };
        const LIST = {
          begin: /\[/,
          end: /\]/
        };
        const LINE_COMMENT = {
          className: "comment",
          begin: /%/,
          end: /$/,
          contains: [hljs.PHRASAL_WORDS_MODE]
        };
        const BACKTICK_STRING = {
          className: "string",
          begin: /`/,
          end: /`/,
          contains: [hljs.BACKSLASH_ESCAPE]
        };
        const CHAR_CODE = {
          className: "string",
          // 0'a etc.
          begin: /0'(\\'|.)/
        };
        const SPACE_CODE = {
          className: "string",
          begin: /0'\\s/
          // 0'\s
        };
        const PRED_OP = {
          // relevance booster
          begin: /:-/
        };
        const inner = [
          ATOM,
          VAR,
          PARENTED,
          PRED_OP,
          LIST,
          LINE_COMMENT,
          hljs.C_BLOCK_COMMENT_MODE,
          hljs.QUOTE_STRING_MODE,
          hljs.APOS_STRING_MODE,
          BACKTICK_STRING,
          CHAR_CODE,
          SPACE_CODE,
          hljs.C_NUMBER_MODE
        ];
        PARENTED.contains = inner;
        LIST.contains = inner;
        return {
          name: "Prolog",
          contains: inner.concat([
            {
              // relevance booster
              begin: /\.$/
            }
          ])
        };
      }
      module.exports = prolog;
    }
  });

  // node_modules/highlight.js/lib/languages/properties.js
  var require_properties = __commonJS({
    "node_modules/highlight.js/lib/languages/properties.js"(exports, module) {
      function properties(hljs) {
        const WS0 = "[ \\t\\f]*";
        const WS1 = "[ \\t\\f]+";
        const EQUAL_DELIM = WS0 + "[:=]" + WS0;
        const WS_DELIM = WS1;
        const DELIM = "(" + EQUAL_DELIM + "|" + WS_DELIM + ")";
        const KEY = "([^\\\\:= \\t\\f\\n]|\\\\.)+";
        const DELIM_AND_VALUE = {
          // skip DELIM
          end: DELIM,
          relevance: 0,
          starts: {
            // value: everything until end of line (again, taking into account backslashes)
            className: "string",
            end: /$/,
            relevance: 0,
            contains: [
              { begin: "\\\\\\\\" },
              { begin: "\\\\\\n" }
            ]
          }
        };
        return {
          name: ".properties",
          disableAutodetect: true,
          case_insensitive: true,
          illegal: /\S/,
          contains: [
            hljs.COMMENT("^\\s*[!#]", "$"),
            // key: everything until whitespace or = or : (taking into account backslashes)
            // case of a key-value pair
            {
              returnBegin: true,
              variants: [
                { begin: KEY + EQUAL_DELIM },
                { begin: KEY + WS_DELIM }
              ],
              contains: [
                {
                  className: "attr",
                  begin: KEY,
                  endsParent: true
                }
              ],
              starts: DELIM_AND_VALUE
            },
            // case of an empty key
            {
              className: "attr",
              begin: KEY + WS0 + "$"
            }
          ]
        };
      }
      module.exports = properties;
    }
  });

  // node_modules/highlight.js/lib/languages/protobuf.js
  var require_protobuf = __commonJS({
    "node_modules/highlight.js/lib/languages/protobuf.js"(exports, module) {
      function protobuf(hljs) {
        const KEYWORDS = [
          "package",
          "import",
          "option",
          "optional",
          "required",
          "repeated",
          "group",
          "oneof"
        ];
        const TYPES = [
          "double",
          "float",
          "int32",
          "int64",
          "uint32",
          "uint64",
          "sint32",
          "sint64",
          "fixed32",
          "fixed64",
          "sfixed32",
          "sfixed64",
          "bool",
          "string",
          "bytes"
        ];
        const CLASS_DEFINITION = {
          match: [
            /(message|enum|service)\s+/,
            hljs.IDENT_RE
          ],
          scope: {
            1: "keyword",
            2: "title.class"
          }
        };
        return {
          name: "Protocol Buffers",
          aliases: ["proto"],
          keywords: {
            keyword: KEYWORDS,
            type: TYPES,
            literal: [
              "true",
              "false"
            ]
          },
          contains: [
            hljs.QUOTE_STRING_MODE,
            hljs.NUMBER_MODE,
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            CLASS_DEFINITION,
            {
              className: "function",
              beginKeywords: "rpc",
              end: /[{;]/,
              excludeEnd: true,
              keywords: "rpc returns"
            },
            {
              // match enum items (relevance)
              // BLAH = ...;
              begin: /^\s*[A-Z_]+(?=\s*=[^\n]+;$)/
            }
          ]
        };
      }
      module.exports = protobuf;
    }
  });

  // node_modules/highlight.js/lib/languages/puppet.js
  var require_puppet = __commonJS({
    "node_modules/highlight.js/lib/languages/puppet.js"(exports, module) {
      function puppet(hljs) {
        const PUPPET_KEYWORDS = {
          keyword: (
            /* language keywords */
            "and case default else elsif false if in import enherits node or true undef unless main settings $string "
          ),
          literal: (
            /* metaparameters */
            "alias audit before loglevel noop require subscribe tag owner ensure group mode name|0 changes context force incl lens load_path onlyif provider returns root show_diff type_check en_address ip_address realname command environment hour monute month monthday special target weekday creates cwd ogoutput refresh refreshonly tries try_sleep umask backup checksum content ctime force ignore links mtime purge recurse recurselimit replace selinux_ignore_defaults selrange selrole seltype seluser source souirce_permissions sourceselect validate_cmd validate_replacement allowdupe attribute_membership auth_membership forcelocal gid ia_load_module members system host_aliases ip allowed_trunk_vlans description device_url duplex encapsulation etherchannel native_vlan speed principals allow_root auth_class auth_type authenticate_user k_of_n mechanisms rule session_owner shared options device fstype enable hasrestart directory present absent link atboot blockdevice device dump pass remounts poller_tag use message withpath adminfile allow_virtual allowcdrom category configfiles flavor install_options instance package_settings platform responsefile status uninstall_options vendor unless_system_user unless_uid binary control flags hasstatus manifest pattern restart running start stop allowdupe auths expiry gid groups home iterations key_membership keys managehome membership password password_max_age password_min_age profile_membership profiles project purge_ssh_keys role_membership roles salt shell uid baseurl cost descr enabled enablegroups exclude failovermethod gpgcheck gpgkey http_caching include includepkgs keepalive metadata_expire metalink mirrorlist priority protect proxy proxy_password proxy_username repo_gpgcheck s3_enabled skip_if_unavailable sslcacert sslclientcert sslclientkey sslverify mounted"
          ),
          built_in: (
            /* core facts */
            "architecture augeasversion blockdevices boardmanufacturer boardproductname boardserialnumber cfkey dhcp_servers domain ec2_ ec2_userdata facterversion filesystems ldom fqdn gid hardwareisa hardwaremodel hostname id|0 interfaces ipaddress ipaddress_ ipaddress6 ipaddress6_ iphostnumber is_virtual kernel kernelmajversion kernelrelease kernelversion kernelrelease kernelversion lsbdistcodename lsbdistdescription lsbdistid lsbdistrelease lsbmajdistrelease lsbminordistrelease lsbrelease macaddress macaddress_ macosx_buildversion macosx_productname macosx_productversion macosx_productverson_major macosx_productversion_minor manufacturer memoryfree memorysize netmask metmask_ network_ operatingsystem operatingsystemmajrelease operatingsystemrelease osfamily partitions path physicalprocessorcount processor processorcount productname ps puppetversion rubysitedir rubyversion selinux selinux_config_mode selinux_config_policy selinux_current_mode selinux_current_mode selinux_enforced selinux_policyversion serialnumber sp_ sshdsakey sshecdsakey sshrsakey swapencrypted swapfree swapsize timezone type uniqueid uptime uptime_days uptime_hours uptime_seconds uuid virtual vlans xendomains zfs_version zonenae zones zpool_version"
          )
        };
        const COMMENT = hljs.COMMENT("#", "$");
        const IDENT_RE = "([A-Za-z_]|::)(\\w|::)*";
        const TITLE = hljs.inherit(hljs.TITLE_MODE, { begin: IDENT_RE });
        const VARIABLE = {
          className: "variable",
          begin: "\\$" + IDENT_RE
        };
        const STRING = {
          className: "string",
          contains: [
            hljs.BACKSLASH_ESCAPE,
            VARIABLE
          ],
          variants: [
            {
              begin: /'/,
              end: /'/
            },
            {
              begin: /"/,
              end: /"/
            }
          ]
        };
        return {
          name: "Puppet",
          aliases: ["pp"],
          contains: [
            COMMENT,
            VARIABLE,
            STRING,
            {
              beginKeywords: "class",
              end: "\\{|;",
              illegal: /=/,
              contains: [
                TITLE,
                COMMENT
              ]
            },
            {
              beginKeywords: "define",
              end: /\{/,
              contains: [
                {
                  className: "section",
                  begin: hljs.IDENT_RE,
                  endsParent: true
                }
              ]
            },
            {
              begin: hljs.IDENT_RE + "\\s+\\{",
              returnBegin: true,
              end: /\S/,
              contains: [
                {
                  className: "keyword",
                  begin: hljs.IDENT_RE,
                  relevance: 0.2
                },
                {
                  begin: /\{/,
                  end: /\}/,
                  keywords: PUPPET_KEYWORDS,
                  relevance: 0,
                  contains: [
                    STRING,
                    COMMENT,
                    {
                      begin: "[a-zA-Z_]+\\s*=>",
                      returnBegin: true,
                      end: "=>",
                      contains: [
                        {
                          className: "attr",
                          begin: hljs.IDENT_RE
                        }
                      ]
                    },
                    {
                      className: "number",
                      begin: "(\\b0[0-7_]+)|(\\b0x[0-9a-fA-F_]+)|(\\b[1-9][0-9_]*(\\.[0-9_]+)?)|[0_]\\b",
                      relevance: 0
                    },
                    VARIABLE
                  ]
                }
              ],
              relevance: 0
            }
          ]
        };
      }
      module.exports = puppet;
    }
  });

  // node_modules/highlight.js/lib/languages/purebasic.js
  var require_purebasic = __commonJS({
    "node_modules/highlight.js/lib/languages/purebasic.js"(exports, module) {
      function purebasic(hljs) {
        const STRINGS = {
          // PB IDE color: #0080FF (Azure Radiance)
          className: "string",
          begin: '(~)?"',
          end: '"',
          illegal: "\\n"
        };
        const CONSTANTS = {
          // PB IDE color: #924B72 (Cannon Pink)
          //  "#" + a letter or underscore + letters, digits or underscores + (optional) "$"
          className: "symbol",
          begin: "#[a-zA-Z_]\\w*\\$?"
        };
        return {
          name: "PureBASIC",
          aliases: [
            "pb",
            "pbi"
          ],
          keywords: (
            // PB IDE color: #006666 (Blue Stone) + Bold
            // Keywords from all version of PureBASIC 5.00 upward ...
            "Align And Array As Break CallDebugger Case CompilerCase CompilerDefault CompilerElse CompilerElseIf CompilerEndIf CompilerEndSelect CompilerError CompilerIf CompilerSelect CompilerWarning Continue Data DataSection Debug DebugLevel Declare DeclareC DeclareCDLL DeclareDLL DeclareModule Default Define Dim DisableASM DisableDebugger DisableExplicit Else ElseIf EnableASM EnableDebugger EnableExplicit End EndDataSection EndDeclareModule EndEnumeration EndIf EndImport EndInterface EndMacro EndModule EndProcedure EndSelect EndStructure EndStructureUnion EndWith Enumeration EnumerationBinary Extends FakeReturn For ForEach ForEver Global Gosub Goto If Import ImportC IncludeBinary IncludeFile IncludePath Interface List Macro MacroExpandedCount Map Module NewList NewMap Next Not Or Procedure ProcedureC ProcedureCDLL ProcedureDLL ProcedureReturn Protected Prototype PrototypeC ReDim Read Repeat Restore Return Runtime Select Shared Static Step Structure StructureUnion Swap Threaded To UndefineMacro Until Until  UnuseModule UseModule Wend While With XIncludeFile XOr"
          ),
          contains: [
            // COMMENTS | PB IDE color: #00AAAA (Persian Green)
            hljs.COMMENT(";", "$", { relevance: 0 }),
            {
              // PROCEDURES DEFINITIONS
              className: "function",
              begin: "\\b(Procedure|Declare)(C|CDLL|DLL)?\\b",
              end: "\\(",
              excludeEnd: true,
              returnBegin: true,
              contains: [
                {
                  // PROCEDURE KEYWORDS | PB IDE color: #006666 (Blue Stone) + Bold
                  className: "keyword",
                  begin: "(Procedure|Declare)(C|CDLL|DLL)?",
                  excludeEnd: true
                },
                {
                  // PROCEDURE RETURN TYPE SETTING | PB IDE color: #000000 (Black)
                  className: "type",
                  begin: "\\.\\w*"
                  // end: ' ',
                },
                hljs.UNDERSCORE_TITLE_MODE
                // PROCEDURE NAME | PB IDE color: #006666 (Blue Stone)
              ]
            },
            STRINGS,
            CONSTANTS
          ]
        };
      }
      module.exports = purebasic;
    }
  });

  // node_modules/highlight.js/lib/languages/python.js
  var require_python = __commonJS({
    "node_modules/highlight.js/lib/languages/python.js"(exports, module) {
      function python(hljs) {
        const regex = hljs.regex;
        const IDENT_RE = /[\p{XID_Start}_]\p{XID_Continue}*/u;
        const RESERVED_WORDS = [
          "and",
          "as",
          "assert",
          "async",
          "await",
          "break",
          "case",
          "class",
          "continue",
          "def",
          "del",
          "elif",
          "else",
          "except",
          "finally",
          "for",
          "from",
          "global",
          "if",
          "import",
          "in",
          "is",
          "lambda",
          "match",
          "nonlocal|10",
          "not",
          "or",
          "pass",
          "raise",
          "return",
          "try",
          "while",
          "with",
          "yield"
        ];
        const BUILT_INS = [
          "__import__",
          "abs",
          "all",
          "any",
          "ascii",
          "bin",
          "bool",
          "breakpoint",
          "bytearray",
          "bytes",
          "callable",
          "chr",
          "classmethod",
          "compile",
          "complex",
          "delattr",
          "dict",
          "dir",
          "divmod",
          "enumerate",
          "eval",
          "exec",
          "filter",
          "float",
          "format",
          "frozenset",
          "getattr",
          "globals",
          "hasattr",
          "hash",
          "help",
          "hex",
          "id",
          "input",
          "int",
          "isinstance",
          "issubclass",
          "iter",
          "len",
          "list",
          "locals",
          "map",
          "max",
          "memoryview",
          "min",
          "next",
          "object",
          "oct",
          "open",
          "ord",
          "pow",
          "print",
          "property",
          "range",
          "repr",
          "reversed",
          "round",
          "set",
          "setattr",
          "slice",
          "sorted",
          "staticmethod",
          "str",
          "sum",
          "super",
          "tuple",
          "type",
          "vars",
          "zip"
        ];
        const LITERALS = [
          "__debug__",
          "Ellipsis",
          "False",
          "None",
          "NotImplemented",
          "True"
        ];
        const TYPES = [
          "Any",
          "Callable",
          "Coroutine",
          "Dict",
          "List",
          "Literal",
          "Generic",
          "Optional",
          "Sequence",
          "Set",
          "Tuple",
          "Type",
          "Union"
        ];
        const KEYWORDS = {
          $pattern: /[A-Za-z]\w+|__\w+__/,
          keyword: RESERVED_WORDS,
          built_in: BUILT_INS,
          literal: LITERALS,
          type: TYPES
        };
        const PROMPT = {
          className: "meta",
          begin: /^(>>>|\.\.\.) /
        };
        const SUBST = {
          className: "subst",
          begin: /\{/,
          end: /\}/,
          keywords: KEYWORDS,
          illegal: /#/
        };
        const LITERAL_BRACKET = {
          begin: /\{\{/,
          relevance: 0
        };
        const STRING = {
          className: "string",
          contains: [hljs.BACKSLASH_ESCAPE],
          variants: [
            {
              begin: /([uU]|[bB]|[rR]|[bB][rR]|[rR][bB])?'''/,
              end: /'''/,
              contains: [
                hljs.BACKSLASH_ESCAPE,
                PROMPT
              ],
              relevance: 10
            },
            {
              begin: /([uU]|[bB]|[rR]|[bB][rR]|[rR][bB])?"""/,
              end: /"""/,
              contains: [
                hljs.BACKSLASH_ESCAPE,
                PROMPT
              ],
              relevance: 10
            },
            {
              begin: /([fF][rR]|[rR][fF]|[fF])'''/,
              end: /'''/,
              contains: [
                hljs.BACKSLASH_ESCAPE,
                PROMPT,
                LITERAL_BRACKET,
                SUBST
              ]
            },
            {
              begin: /([fF][rR]|[rR][fF]|[fF])"""/,
              end: /"""/,
              contains: [
                hljs.BACKSLASH_ESCAPE,
                PROMPT,
                LITERAL_BRACKET,
                SUBST
              ]
            },
            {
              begin: /([uU]|[rR])'/,
              end: /'/,
              relevance: 10
            },
            {
              begin: /([uU]|[rR])"/,
              end: /"/,
              relevance: 10
            },
            {
              begin: /([bB]|[bB][rR]|[rR][bB])'/,
              end: /'/
            },
            {
              begin: /([bB]|[bB][rR]|[rR][bB])"/,
              end: /"/
            },
            {
              begin: /([fF][rR]|[rR][fF]|[fF])'/,
              end: /'/,
              contains: [
                hljs.BACKSLASH_ESCAPE,
                LITERAL_BRACKET,
                SUBST
              ]
            },
            {
              begin: /([fF][rR]|[rR][fF]|[fF])"/,
              end: /"/,
              contains: [
                hljs.BACKSLASH_ESCAPE,
                LITERAL_BRACKET,
                SUBST
              ]
            },
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE
          ]
        };
        const digitpart = "[0-9](_?[0-9])*";
        const pointfloat = `(\\b(${digitpart}))?\\.(${digitpart})|\\b(${digitpart})\\.`;
        const lookahead = `\\b|${RESERVED_WORDS.join("|")}`;
        const NUMBER = {
          className: "number",
          relevance: 0,
          variants: [
            // exponentfloat, pointfloat
            // https://docs.python.org/3.9/reference/lexical_analysis.html#floating-point-literals
            // optionally imaginary
            // https://docs.python.org/3.9/reference/lexical_analysis.html#imaginary-literals
            // Note: no leading \b because floats can start with a decimal point
            // and we don't want to mishandle e.g. `fn(.5)`,
            // no trailing \b for pointfloat because it can end with a decimal point
            // and we don't want to mishandle e.g. `0..hex()`; this should be safe
            // because both MUST contain a decimal point and so cannot be confused with
            // the interior part of an identifier
            {
              begin: `(\\b(${digitpart})|(${pointfloat}))[eE][+-]?(${digitpart})[jJ]?(?=${lookahead})`
            },
            {
              begin: `(${pointfloat})[jJ]?`
            },
            // decinteger, bininteger, octinteger, hexinteger
            // https://docs.python.org/3.9/reference/lexical_analysis.html#integer-literals
            // optionally "long" in Python 2
            // https://docs.python.org/2.7/reference/lexical_analysis.html#integer-and-long-integer-literals
            // decinteger is optionally imaginary
            // https://docs.python.org/3.9/reference/lexical_analysis.html#imaginary-literals
            {
              begin: `\\b([1-9](_?[0-9])*|0+(_?0)*)[lLjJ]?(?=${lookahead})`
            },
            {
              begin: `\\b0[bB](_?[01])+[lL]?(?=${lookahead})`
            },
            {
              begin: `\\b0[oO](_?[0-7])+[lL]?(?=${lookahead})`
            },
            {
              begin: `\\b0[xX](_?[0-9a-fA-F])+[lL]?(?=${lookahead})`
            },
            // imagnumber (digitpart-based)
            // https://docs.python.org/3.9/reference/lexical_analysis.html#imaginary-literals
            {
              begin: `\\b(${digitpart})[jJ](?=${lookahead})`
            }
          ]
        };
        const COMMENT_TYPE = {
          className: "comment",
          begin: regex.lookahead(/# type:/),
          end: /$/,
          keywords: KEYWORDS,
          contains: [
            {
              // prevent keywords from coloring `type`
              begin: /# type:/
            },
            // comment within a datatype comment includes no keywords
            {
              begin: /#/,
              end: /\b\B/,
              endsWithParent: true
            }
          ]
        };
        const PARAMS = {
          className: "params",
          variants: [
            // Exclude params in functions without params
            {
              className: "",
              begin: /\(\s*\)/,
              skip: true
            },
            {
              begin: /\(/,
              end: /\)/,
              excludeBegin: true,
              excludeEnd: true,
              keywords: KEYWORDS,
              contains: [
                "self",
                PROMPT,
                NUMBER,
                STRING,
                hljs.HASH_COMMENT_MODE
              ]
            }
          ]
        };
        SUBST.contains = [
          STRING,
          NUMBER,
          PROMPT
        ];
        return {
          name: "Python",
          aliases: [
            "py",
            "gyp",
            "ipython"
          ],
          unicodeRegex: true,
          keywords: KEYWORDS,
          illegal: /(<\/|\?)|=>/,
          contains: [
            PROMPT,
            NUMBER,
            {
              // very common convention
              scope: "variable.language",
              match: /\bself\b/
            },
            {
              // eat "if" prior to string so that it won't accidentally be
              // labeled as an f-string
              beginKeywords: "if",
              relevance: 0
            },
            { match: /\bor\b/, scope: "keyword" },
            STRING,
            COMMENT_TYPE,
            hljs.HASH_COMMENT_MODE,
            {
              match: [
                /\bdef/,
                /\s+/,
                IDENT_RE
              ],
              scope: {
                1: "keyword",
                3: "title.function"
              },
              contains: [PARAMS]
            },
            {
              variants: [
                {
                  match: [
                    /\bclass/,
                    /\s+/,
                    IDENT_RE,
                    /\s*/,
                    /\(\s*/,
                    IDENT_RE,
                    /\s*\)/
                  ]
                },
                {
                  match: [
                    /\bclass/,
                    /\s+/,
                    IDENT_RE
                  ]
                }
              ],
              scope: {
                1: "keyword",
                3: "title.class",
                6: "title.class.inherited"
              }
            },
            {
              className: "meta",
              begin: /^[\t ]*@/,
              end: /(?=#)|$/,
              contains: [
                NUMBER,
                PARAMS,
                STRING
              ]
            }
          ]
        };
      }
      module.exports = python;
    }
  });

  // node_modules/highlight.js/lib/languages/python-repl.js
  var require_python_repl = __commonJS({
    "node_modules/highlight.js/lib/languages/python-repl.js"(exports, module) {
      function pythonRepl(hljs) {
        return {
          aliases: ["pycon"],
          contains: [
            {
              className: "meta.prompt",
              starts: {
                // a space separates the REPL prefix from the actual code
                // this is purely for cleaner HTML output
                end: / |$/,
                starts: {
                  end: "$",
                  subLanguage: "python"
                }
              },
              variants: [
                { begin: /^>>>(?=[ ]|$)/ },
                { begin: /^\.\.\.(?=[ ]|$)/ }
              ]
            }
          ]
        };
      }
      module.exports = pythonRepl;
    }
  });

  // node_modules/highlight.js/lib/languages/q.js
  var require_q = __commonJS({
    "node_modules/highlight.js/lib/languages/q.js"(exports, module) {
      function q2(hljs) {
        const KEYWORDS = {
          $pattern: /(`?)[A-Za-z0-9_]+\b/,
          keyword: "do while select delete by update from",
          literal: "0b 1b",
          built_in: "neg not null string reciprocal floor ceiling signum mod xbar xlog and or each scan over prior mmu lsq inv md5 ltime gtime count first var dev med cov cor all any rand sums prds mins maxs fills deltas ratios avgs differ prev next rank reverse iasc idesc asc desc msum mcount mavg mdev xrank mmin mmax xprev rotate distinct group where flip type key til get value attr cut set upsert raze union inter except cross sv vs sublist enlist read0 read1 hopen hclose hdel hsym hcount peach system ltrim rtrim trim lower upper ssr view tables views cols xcols keys xkey xcol xasc xdesc fkeys meta lj aj aj0 ij pj asof uj ww wj wj1 fby xgroup ungroup ej save load rsave rload show csv parse eval min max avg wavg wsum sin cos tan sum",
          type: "`float `double int `timestamp `timespan `datetime `time `boolean `symbol `char `byte `short `long `real `month `date `minute `second `guid"
        };
        return {
          name: "Q",
          aliases: [
            "k",
            "kdb"
          ],
          keywords: KEYWORDS,
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.C_NUMBER_MODE
          ]
        };
      }
      module.exports = q2;
    }
  });

  // node_modules/highlight.js/lib/languages/qml.js
  var require_qml = __commonJS({
    "node_modules/highlight.js/lib/languages/qml.js"(exports, module) {
      function qml(hljs) {
        const regex = hljs.regex;
        const KEYWORDS = {
          keyword: "in of on if for while finally var new function do return void else break catch instanceof with throw case default try this switch continue typeof delete let yield const export super debugger as async await import",
          literal: "true false null undefined NaN Infinity",
          built_in: "eval isFinite isNaN parseFloat parseInt decodeURI decodeURIComponent encodeURI encodeURIComponent escape unescape Object Function Boolean Error EvalError InternalError RangeError ReferenceError StopIteration SyntaxError TypeError URIError Number Math Date String RegExp Array Float32Array Float64Array Int16Array Int32Array Int8Array Uint16Array Uint32Array Uint8Array Uint8ClampedArray ArrayBuffer DataView JSON Intl arguments require module console window document Symbol Set Map WeakSet WeakMap Proxy Reflect Behavior bool color coordinate date double enumeration font geocircle georectangle geoshape int list matrix4x4 parent point quaternion real rect size string url variant vector2d vector3d vector4d Promise"
        };
        const QML_IDENT_RE = "[a-zA-Z_][a-zA-Z0-9\\._]*";
        const PROPERTY = {
          className: "keyword",
          begin: "\\bproperty\\b",
          starts: {
            className: "string",
            end: "(:|=|;|,|//|/\\*|$)",
            returnEnd: true
          }
        };
        const SIGNAL = {
          className: "keyword",
          begin: "\\bsignal\\b",
          starts: {
            className: "string",
            end: "(\\(|:|=|;|,|//|/\\*|$)",
            returnEnd: true
          }
        };
        const ID_ID = {
          className: "attribute",
          begin: "\\bid\\s*:",
          starts: {
            className: "string",
            end: QML_IDENT_RE,
            returnEnd: false
          }
        };
        const QML_ATTRIBUTE = {
          begin: QML_IDENT_RE + "\\s*:",
          returnBegin: true,
          contains: [
            {
              className: "attribute",
              begin: QML_IDENT_RE,
              end: "\\s*:",
              excludeEnd: true,
              relevance: 0
            }
          ],
          relevance: 0
        };
        const QML_OBJECT = {
          begin: regex.concat(QML_IDENT_RE, /\s*\{/),
          end: /\{/,
          returnBegin: true,
          relevance: 0,
          contains: [hljs.inherit(hljs.TITLE_MODE, { begin: QML_IDENT_RE })]
        };
        return {
          name: "QML",
          aliases: ["qt"],
          case_insensitive: false,
          keywords: KEYWORDS,
          contains: [
            {
              className: "meta",
              begin: /^\s*['"]use (strict|asm)['"]/
            },
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            {
              // template string
              className: "string",
              begin: "`",
              end: "`",
              contains: [
                hljs.BACKSLASH_ESCAPE,
                {
                  className: "subst",
                  begin: "\\$\\{",
                  end: "\\}"
                }
              ]
            },
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            {
              className: "number",
              variants: [
                { begin: "\\b(0[bB][01]+)" },
                { begin: "\\b(0[oO][0-7]+)" },
                { begin: hljs.C_NUMBER_RE }
              ],
              relevance: 0
            },
            {
              // "value" container
              begin: "(" + hljs.RE_STARTERS_RE + "|\\b(case|return|throw)\\b)\\s*",
              keywords: "return throw case",
              contains: [
                hljs.C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE,
                hljs.REGEXP_MODE,
                {
                  // E4X / JSX
                  begin: /</,
                  end: />\s*[);\]]/,
                  relevance: 0,
                  subLanguage: "xml"
                }
              ],
              relevance: 0
            },
            SIGNAL,
            PROPERTY,
            {
              className: "function",
              beginKeywords: "function",
              end: /\{/,
              excludeEnd: true,
              contains: [
                hljs.inherit(hljs.TITLE_MODE, { begin: /[A-Za-z$_][0-9A-Za-z$_]*/ }),
                {
                  className: "params",
                  begin: /\(/,
                  end: /\)/,
                  excludeBegin: true,
                  excludeEnd: true,
                  contains: [
                    hljs.C_LINE_COMMENT_MODE,
                    hljs.C_BLOCK_COMMENT_MODE
                  ]
                }
              ],
              illegal: /\[|%/
            },
            {
              // hack: prevents detection of keywords after dots
              begin: "\\." + hljs.IDENT_RE,
              relevance: 0
            },
            ID_ID,
            QML_ATTRIBUTE,
            QML_OBJECT
          ],
          illegal: /#/
        };
      }
      module.exports = qml;
    }
  });

  // node_modules/highlight.js/lib/languages/r.js
  var require_r = __commonJS({
    "node_modules/highlight.js/lib/languages/r.js"(exports, module) {
      function r(hljs) {
        const regex = hljs.regex;
        const IDENT_RE = /(?:(?:[a-zA-Z]|\.[._a-zA-Z])[._a-zA-Z0-9]*)|\.(?!\d)/;
        const NUMBER_TYPES_RE = regex.either(
          // Special case: only hexadecimal binary powers can contain fractions
          /0[xX][0-9a-fA-F]+\.[0-9a-fA-F]*[pP][+-]?\d+i?/,
          // Hexadecimal numbers without fraction and optional binary power
          /0[xX][0-9a-fA-F]+(?:[pP][+-]?\d+)?[Li]?/,
          // Decimal numbers
          /(?:\d+(?:\.\d*)?|\.\d+)(?:[eE][+-]?\d+)?[Li]?/
        );
        const OPERATORS_RE = /[=!<>:]=|\|\||&&|:::?|<-|<<-|->>|->|\|>|[-+*\/?!$&|:<=>@^~]|\*\*/;
        const PUNCTUATION_RE = regex.either(
          /[()]/,
          /[{}]/,
          /\[\[/,
          /[[\]]/,
          /\\/,
          /,/
        );
        return {
          name: "R",
          keywords: {
            $pattern: IDENT_RE,
            keyword: "function if in break next repeat else for while",
            literal: "NULL NA TRUE FALSE Inf NaN NA_integer_|10 NA_real_|10 NA_character_|10 NA_complex_|10",
            built_in: (
              // Builtin constants
              "LETTERS letters month.abb month.name pi T F abs acos acosh all any anyNA Arg as.call as.character as.complex as.double as.environment as.integer as.logical as.null.default as.numeric as.raw asin asinh atan atanh attr attributes baseenv browser c call ceiling class Conj cos cosh cospi cummax cummin cumprod cumsum digamma dim dimnames emptyenv exp expression floor forceAndCall gamma gc.time globalenv Im interactive invisible is.array is.atomic is.call is.character is.complex is.double is.environment is.expression is.finite is.function is.infinite is.integer is.language is.list is.logical is.matrix is.na is.name is.nan is.null is.numeric is.object is.pairlist is.raw is.recursive is.single is.symbol lazyLoadDBfetch length lgamma list log max min missing Mod names nargs nzchar oldClass on.exit pos.to.env proc.time prod quote range Re rep retracemem return round seq_along seq_len seq.int sign signif sin sinh sinpi sqrt standardGeneric substitute sum switch tan tanh tanpi tracemem trigamma trunc unclass untracemem UseMethod xtfrm"
            )
          },
          contains: [
            // Roxygen comments
            hljs.COMMENT(
              /#'/,
              /$/,
              { contains: [
                {
                  // Handle `@examples` separately to cause all subsequent code
                  // until the next `@`-tag on its own line to be kept as-is,
                  // preventing highlighting. This code is example R code, so nested
                  // doctags shouldn’t be treated as such. See
                  // `test/markup/r/roxygen.txt` for an example.
                  scope: "doctag",
                  match: /@examples/,
                  starts: {
                    end: regex.lookahead(regex.either(
                      // end if another doc comment
                      /\n^#'\s*(?=@[a-zA-Z]+)/,
                      // or a line with no comment
                      /\n^(?!#')/
                    )),
                    endsParent: true
                  }
                },
                {
                  // Handle `@param` to highlight the parameter name following
                  // after.
                  scope: "doctag",
                  begin: "@param",
                  end: /$/,
                  contains: [
                    {
                      scope: "variable",
                      variants: [
                        { match: IDENT_RE },
                        { match: /`(?:\\.|[^`\\])+`/ }
                      ],
                      endsParent: true
                    }
                  ]
                },
                {
                  scope: "doctag",
                  match: /@[a-zA-Z]+/
                },
                {
                  scope: "keyword",
                  match: /\\[a-zA-Z]+/
                }
              ] }
            ),
            hljs.HASH_COMMENT_MODE,
            {
              scope: "string",
              contains: [hljs.BACKSLASH_ESCAPE],
              variants: [
                hljs.END_SAME_AS_BEGIN({
                  begin: /[rR]"(-*)\(/,
                  end: /\)(-*)"/
                }),
                hljs.END_SAME_AS_BEGIN({
                  begin: /[rR]"(-*)\{/,
                  end: /\}(-*)"/
                }),
                hljs.END_SAME_AS_BEGIN({
                  begin: /[rR]"(-*)\[/,
                  end: /\](-*)"/
                }),
                hljs.END_SAME_AS_BEGIN({
                  begin: /[rR]'(-*)\(/,
                  end: /\)(-*)'/
                }),
                hljs.END_SAME_AS_BEGIN({
                  begin: /[rR]'(-*)\{/,
                  end: /\}(-*)'/
                }),
                hljs.END_SAME_AS_BEGIN({
                  begin: /[rR]'(-*)\[/,
                  end: /\](-*)'/
                }),
                {
                  begin: '"',
                  end: '"',
                  relevance: 0
                },
                {
                  begin: "'",
                  end: "'",
                  relevance: 0
                }
              ]
            },
            // Matching numbers immediately following punctuation and operators is
            // tricky since we need to look at the character ahead of a number to
            // ensure the number is not part of an identifier, and we cannot use
            // negative look-behind assertions. So instead we explicitly handle all
            // possible combinations of (operator|punctuation), number.
            // TODO: replace with negative look-behind when available
            // { begin: /(?<![a-zA-Z0-9._])0[xX][0-9a-fA-F]+\.[0-9a-fA-F]*[pP][+-]?\d+i?/ },
            // { begin: /(?<![a-zA-Z0-9._])0[xX][0-9a-fA-F]+([pP][+-]?\d+)?[Li]?/ },
            // { begin: /(?<![a-zA-Z0-9._])(\d+(\.\d*)?|\.\d+)([eE][+-]?\d+)?[Li]?/ }
            {
              relevance: 0,
              variants: [
                {
                  scope: {
                    1: "operator",
                    2: "number"
                  },
                  match: [
                    OPERATORS_RE,
                    NUMBER_TYPES_RE
                  ]
                },
                {
                  scope: {
                    1: "operator",
                    2: "number"
                  },
                  match: [
                    /%[^%]*%/,
                    NUMBER_TYPES_RE
                  ]
                },
                {
                  scope: {
                    1: "punctuation",
                    2: "number"
                  },
                  match: [
                    PUNCTUATION_RE,
                    NUMBER_TYPES_RE
                  ]
                },
                {
                  scope: { 2: "number" },
                  match: [
                    /[^a-zA-Z0-9._]|^/,
                    // not part of an identifier, or start of document
                    NUMBER_TYPES_RE
                  ]
                }
              ]
            },
            // Operators/punctuation when they're not directly followed by numbers
            {
              // Relevance boost for the most common assignment form.
              scope: { 3: "operator" },
              match: [
                IDENT_RE,
                /\s+/,
                /<-/,
                /\s+/
              ]
            },
            {
              scope: "operator",
              relevance: 0,
              variants: [
                { match: OPERATORS_RE },
                { match: /%[^%]*%/ }
              ]
            },
            {
              scope: "punctuation",
              relevance: 0,
              match: PUNCTUATION_RE
            },
            {
              // Escaped identifier
              begin: "`",
              end: "`",
              contains: [{ begin: /\\./ }]
            }
          ]
        };
      }
      module.exports = r;
    }
  });

  // node_modules/highlight.js/lib/languages/reasonml.js
  var require_reasonml = __commonJS({
    "node_modules/highlight.js/lib/languages/reasonml.js"(exports, module) {
      function reasonml(hljs) {
        const BUILT_IN_TYPES = [
          "array",
          "bool",
          "bytes",
          "char",
          "exn|5",
          "float",
          "int",
          "int32",
          "int64",
          "list",
          "lazy_t|5",
          "nativeint|5",
          "ref",
          "string",
          "unit"
        ];
        return {
          name: "ReasonML",
          aliases: ["re"],
          keywords: {
            $pattern: /[a-z_]\w*!?/,
            keyword: [
              "and",
              "as",
              "asr",
              "assert",
              "begin",
              "class",
              "constraint",
              "do",
              "done",
              "downto",
              "else",
              "end",
              "esfun",
              "exception",
              "external",
              "for",
              "fun",
              "function",
              "functor",
              "if",
              "in",
              "include",
              "inherit",
              "initializer",
              "land",
              "lazy",
              "let",
              "lor",
              "lsl",
              "lsr",
              "lxor",
              "mod",
              "module",
              "mutable",
              "new",
              "nonrec",
              "object",
              "of",
              "open",
              "or",
              "pri",
              "pub",
              "rec",
              "sig",
              "struct",
              "switch",
              "then",
              "to",
              "try",
              "type",
              "val",
              "virtual",
              "when",
              "while",
              "with"
            ],
            built_in: BUILT_IN_TYPES,
            literal: ["true", "false"]
          },
          illegal: /(:-|:=|\$\{|\+=)/,
          contains: [
            {
              scope: "literal",
              match: /\[(\|\|)?\]|\(\)/,
              relevance: 0
            },
            hljs.C_LINE_COMMENT_MODE,
            hljs.COMMENT(/\/\*/, /\*\//, { illegal: /^(#,\/\/)/ }),
            {
              /* type variable */
              scope: "symbol",
              match: /\'[A-Za-z_](?!\')[\w\']*/
              /* the grammar is ambiguous on how 'a'b should be interpreted but not the compiler */
            },
            {
              /* polymorphic variant */
              scope: "type",
              match: /`[A-Z][\w\']*/
            },
            {
              /* module or constructor */
              scope: "type",
              match: /\b[A-Z][\w\']*/,
              relevance: 0
            },
            {
              /* don't color identifiers, but safely catch all identifiers with ' */
              match: /[a-z_]\w*\'[\w\']*/,
              relevance: 0
            },
            {
              scope: "operator",
              match: /\s+(\|\||\+[\+\.]?|\*[\*\/\.]?|\/[\.]?|\.\.\.|\|>|&&|===?)\s+/,
              relevance: 0
            },
            hljs.inherit(hljs.APOS_STRING_MODE, {
              scope: "string",
              relevance: 0
            }),
            hljs.inherit(hljs.QUOTE_STRING_MODE, { illegal: null }),
            {
              scope: "number",
              variants: [
                { match: /\b0[xX][a-fA-F0-9_]+[Lln]?/ },
                { match: /\b0[oO][0-7_]+[Lln]?/ },
                { match: /\b0[bB][01_]+[Lln]?/ },
                { match: /\b[0-9][0-9_]*([Lln]|(\.[0-9_]*)?([eE][-+]?[0-9_]+)?)/ }
              ],
              relevance: 0
            }
          ]
        };
      }
      module.exports = reasonml;
    }
  });

  // node_modules/highlight.js/lib/languages/rib.js
  var require_rib = __commonJS({
    "node_modules/highlight.js/lib/languages/rib.js"(exports, module) {
      function rib(hljs) {
        return {
          name: "RenderMan RIB",
          keywords: "ArchiveRecord AreaLightSource Atmosphere Attribute AttributeBegin AttributeEnd Basis Begin Blobby Bound Clipping ClippingPlane Color ColorSamples ConcatTransform Cone CoordinateSystem CoordSysTransform CropWindow Curves Cylinder DepthOfField Detail DetailRange Disk Displacement Display End ErrorHandler Exposure Exterior Format FrameAspectRatio FrameBegin FrameEnd GeneralPolygon GeometricApproximation Geometry Hider Hyperboloid Identity Illuminate Imager Interior LightSource MakeCubeFaceEnvironment MakeLatLongEnvironment MakeShadow MakeTexture Matte MotionBegin MotionEnd NuPatch ObjectBegin ObjectEnd ObjectInstance Opacity Option Orientation Paraboloid Patch PatchMesh Perspective PixelFilter PixelSamples PixelVariance Points PointsGeneralPolygons PointsPolygons Polygon Procedural Projection Quantize ReadArchive RelativeDetail ReverseOrientation Rotate Scale ScreenWindow ShadingInterpolation ShadingRate Shutter Sides Skew SolidBegin SolidEnd Sphere SubdivisionMesh Surface TextureCoordinates Torus Transform TransformBegin TransformEnd TransformPoints Translate TrimCurve WorldBegin WorldEnd",
          illegal: "</",
          contains: [
            hljs.HASH_COMMENT_MODE,
            hljs.C_NUMBER_MODE,
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE
          ]
        };
      }
      module.exports = rib;
    }
  });

  // node_modules/highlight.js/lib/languages/roboconf.js
  var require_roboconf = __commonJS({
    "node_modules/highlight.js/lib/languages/roboconf.js"(exports, module) {
      function roboconf(hljs) {
        const IDENTIFIER = "[a-zA-Z-_][^\\n{]+\\{";
        const PROPERTY = {
          className: "attribute",
          begin: /[a-zA-Z-_]+/,
          end: /\s*:/,
          excludeEnd: true,
          starts: {
            end: ";",
            relevance: 0,
            contains: [
              {
                className: "variable",
                begin: /\.[a-zA-Z-_]+/
              },
              {
                className: "keyword",
                begin: /\(optional\)/
              }
            ]
          }
        };
        return {
          name: "Roboconf",
          aliases: [
            "graph",
            "instances"
          ],
          case_insensitive: true,
          keywords: "import",
          contains: [
            // Facet sections
            {
              begin: "^facet " + IDENTIFIER,
              end: /\}/,
              keywords: "facet",
              contains: [
                PROPERTY,
                hljs.HASH_COMMENT_MODE
              ]
            },
            // Instance sections
            {
              begin: "^\\s*instance of " + IDENTIFIER,
              end: /\}/,
              keywords: "name count channels instance-data instance-state instance of",
              illegal: /\S/,
              contains: [
                "self",
                PROPERTY,
                hljs.HASH_COMMENT_MODE
              ]
            },
            // Component sections
            {
              begin: "^" + IDENTIFIER,
              end: /\}/,
              contains: [
                PROPERTY,
                hljs.HASH_COMMENT_MODE
              ]
            },
            // Comments
            hljs.HASH_COMMENT_MODE
          ]
        };
      }
      module.exports = roboconf;
    }
  });

  // node_modules/highlight.js/lib/languages/routeros.js
  var require_routeros = __commonJS({
    "node_modules/highlight.js/lib/languages/routeros.js"(exports, module) {
      function routeros(hljs) {
        const STATEMENTS = "foreach do while for if from to step else on-error and or not in";
        const GLOBAL_COMMANDS = "global local beep delay put len typeof pick log time set find environment terminal error execute parse resolve toarray tobool toid toip toip6 tonum tostr totime";
        const COMMON_COMMANDS = "add remove enable disable set get print export edit find run debug error info warning";
        const LITERALS = "true false yes no nothing nil null";
        const OBJECTS = "traffic-flow traffic-generator firewall scheduler aaa accounting address-list address align area bandwidth-server bfd bgp bridge client clock community config connection console customer default dhcp-client dhcp-server discovery dns e-mail ethernet filter firmware gps graphing group hardware health hotspot identity igmp-proxy incoming instance interface ip ipsec ipv6 irq l2tp-server lcd ldp logging mac-server mac-winbox mangle manual mirror mme mpls nat nd neighbor network note ntp ospf ospf-v3 ovpn-server page peer pim ping policy pool port ppp pppoe-client pptp-server prefix profile proposal proxy queue radius resource rip ripng route routing screen script security-profiles server service service-port settings shares smb sms sniffer snmp snooper socks sstp-server system tool tracking type upgrade upnp user-manager users user vlan secret vrrp watchdog web-access wireless pptp pppoe lan wan layer7-protocol lease simple raw";
        const VAR = {
          className: "variable",
          variants: [
            { begin: /\$[\w\d#@][\w\d_]*/ },
            { begin: /\$\{(.*?)\}/ }
          ]
        };
        const QUOTE_STRING = {
          className: "string",
          begin: /"/,
          end: /"/,
          contains: [
            hljs.BACKSLASH_ESCAPE,
            VAR,
            {
              className: "variable",
              begin: /\$\(/,
              end: /\)/,
              contains: [hljs.BACKSLASH_ESCAPE]
            }
          ]
        };
        const APOS_STRING = {
          className: "string",
          begin: /'/,
          end: /'/
        };
        return {
          name: "MikroTik RouterOS script",
          aliases: ["mikrotik"],
          case_insensitive: true,
          keywords: {
            $pattern: /:?[\w-]+/,
            literal: LITERALS,
            keyword: STATEMENTS + " :" + STATEMENTS.split(" ").join(" :") + " :" + GLOBAL_COMMANDS.split(" ").join(" :")
          },
          contains: [
            {
              // illegal syntax
              variants: [
                {
                  // -- comment
                  begin: /\/\*/,
                  end: /\*\//
                },
                {
                  // Stan comment
                  begin: /\/\//,
                  end: /$/
                },
                {
                  // HTML tags
                  begin: /<\//,
                  end: />/
                }
              ],
              illegal: /./
            },
            hljs.COMMENT("^#", "$"),
            QUOTE_STRING,
            APOS_STRING,
            VAR,
            // attribute=value
            {
              // > is to avoid matches with => in other grammars
              begin: /[\w-]+=([^\s{}[\]()>]+)/,
              relevance: 0,
              returnBegin: true,
              contains: [
                {
                  className: "attribute",
                  begin: /[^=]+/
                },
                {
                  begin: /=/,
                  endsWithParent: true,
                  relevance: 0,
                  contains: [
                    QUOTE_STRING,
                    APOS_STRING,
                    VAR,
                    {
                      className: "literal",
                      begin: "\\b(" + LITERALS.split(" ").join("|") + ")\\b"
                    },
                    {
                      // Do not format unclassified values. Needed to exclude highlighting of values as built_in.
                      begin: /("[^"]*"|[^\s{}[\]]+)/
                    }
                    /*
                    {
                      // IPv4 addresses and subnets
                      className: 'number',
                      variants: [
                        {begin: IPADDR_wBITMASK+'(,'+IPADDR_wBITMASK+')*'}, //192.168.0.0/24,1.2.3.0/24
                        {begin: IPADDR+'-'+IPADDR},       // 192.168.0.1-192.168.0.3
                        {begin: IPADDR+'(,'+IPADDR+')*'}, // 192.168.0.1,192.168.0.34,192.168.24.1,192.168.0.1
                      ]
                    },
                    {
                      // MAC addresses and DHCP Client IDs
                      className: 'number',
                      begin: /\b(1:)?([0-9A-Fa-f]{1,2}[:-]){5}([0-9A-Fa-f]){1,2}\b/,
                    },
                    */
                  ]
                }
              ]
            },
            {
              // HEX values
              className: "number",
              begin: /\*[0-9a-fA-F]+/
            },
            {
              begin: "\\b(" + COMMON_COMMANDS.split(" ").join("|") + ")([\\s[(\\]|])",
              returnBegin: true,
              contains: [
                {
                  className: "built_in",
                  // 'function',
                  begin: /\w+/
                }
              ]
            },
            {
              className: "built_in",
              variants: [
                { begin: "(\\.\\./|/|\\s)((" + OBJECTS.split(" ").join("|") + ");?\\s)+" },
                {
                  begin: /\.\./,
                  relevance: 0
                }
              ]
            }
          ]
        };
      }
      module.exports = routeros;
    }
  });

  // node_modules/highlight.js/lib/languages/rsl.js
  var require_rsl = __commonJS({
    "node_modules/highlight.js/lib/languages/rsl.js"(exports, module) {
      function rsl(hljs) {
        const BUILT_INS = [
          "abs",
          "acos",
          "ambient",
          "area",
          "asin",
          "atan",
          "atmosphere",
          "attribute",
          "calculatenormal",
          "ceil",
          "cellnoise",
          "clamp",
          "comp",
          "concat",
          "cos",
          "degrees",
          "depth",
          "Deriv",
          "diffuse",
          "distance",
          "Du",
          "Dv",
          "environment",
          "exp",
          "faceforward",
          "filterstep",
          "floor",
          "format",
          "fresnel",
          "incident",
          "length",
          "lightsource",
          "log",
          "match",
          "max",
          "min",
          "mod",
          "noise",
          "normalize",
          "ntransform",
          "opposite",
          "option",
          "phong",
          "pnoise",
          "pow",
          "printf",
          "ptlined",
          "radians",
          "random",
          "reflect",
          "refract",
          "renderinfo",
          "round",
          "setcomp",
          "setxcomp",
          "setycomp",
          "setzcomp",
          "shadow",
          "sign",
          "sin",
          "smoothstep",
          "specular",
          "specularbrdf",
          "spline",
          "sqrt",
          "step",
          "tan",
          "texture",
          "textureinfo",
          "trace",
          "transform",
          "vtransform",
          "xcomp",
          "ycomp",
          "zcomp"
        ];
        const TYPES = [
          "matrix",
          "float",
          "color",
          "point",
          "normal",
          "vector"
        ];
        const KEYWORDS = [
          "while",
          "for",
          "if",
          "do",
          "return",
          "else",
          "break",
          "extern",
          "continue"
        ];
        const CLASS_DEFINITION = {
          match: [
            /(surface|displacement|light|volume|imager)/,
            /\s+/,
            hljs.IDENT_RE
          ],
          scope: {
            1: "keyword",
            3: "title.class"
          }
        };
        return {
          name: "RenderMan RSL",
          keywords: {
            keyword: KEYWORDS,
            built_in: BUILT_INS,
            type: TYPES
          },
          illegal: "</",
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.APOS_STRING_MODE,
            hljs.C_NUMBER_MODE,
            {
              className: "meta",
              begin: "#",
              end: "$"
            },
            CLASS_DEFINITION,
            {
              beginKeywords: "illuminate illuminance gather",
              end: "\\("
            }
          ]
        };
      }
      module.exports = rsl;
    }
  });

  // node_modules/highlight.js/lib/languages/ruleslanguage.js
  var require_ruleslanguage = __commonJS({
    "node_modules/highlight.js/lib/languages/ruleslanguage.js"(exports, module) {
      function ruleslanguage(hljs) {
        return {
          name: "Oracle Rules Language",
          keywords: {
            keyword: "BILL_PERIOD BILL_START BILL_STOP RS_EFFECTIVE_START RS_EFFECTIVE_STOP RS_JURIS_CODE RS_OPCO_CODE INTDADDATTRIBUTE|5 INTDADDVMSG|5 INTDBLOCKOP|5 INTDBLOCKOPNA|5 INTDCLOSE|5 INTDCOUNT|5 INTDCOUNTSTATUSCODE|5 INTDCREATEMASK|5 INTDCREATEDAYMASK|5 INTDCREATEFACTORMASK|5 INTDCREATEHANDLE|5 INTDCREATEOVERRIDEDAYMASK|5 INTDCREATEOVERRIDEMASK|5 INTDCREATESTATUSCODEMASK|5 INTDCREATETOUPERIOD|5 INTDDELETE|5 INTDDIPTEST|5 INTDEXPORT|5 INTDGETERRORCODE|5 INTDGETERRORMESSAGE|5 INTDISEQUAL|5 INTDJOIN|5 INTDLOAD|5 INTDLOADACTUALCUT|5 INTDLOADDATES|5 INTDLOADHIST|5 INTDLOADLIST|5 INTDLOADLISTDATES|5 INTDLOADLISTENERGY|5 INTDLOADLISTHIST|5 INTDLOADRELATEDCHANNEL|5 INTDLOADSP|5 INTDLOADSTAGING|5 INTDLOADUOM|5 INTDLOADUOMDATES|5 INTDLOADUOMHIST|5 INTDLOADVERSION|5 INTDOPEN|5 INTDREADFIRST|5 INTDREADNEXT|5 INTDRECCOUNT|5 INTDRELEASE|5 INTDREPLACE|5 INTDROLLAVG|5 INTDROLLPEAK|5 INTDSCALAROP|5 INTDSCALE|5 INTDSETATTRIBUTE|5 INTDSETDSTPARTICIPANT|5 INTDSETSTRING|5 INTDSETVALUE|5 INTDSETVALUESTATUS|5 INTDSHIFTSTARTTIME|5 INTDSMOOTH|5 INTDSORT|5 INTDSPIKETEST|5 INTDSUBSET|5 INTDTOU|5 INTDTOURELEASE|5 INTDTOUVALUE|5 INTDUPDATESTATS|5 INTDVALUE|5 STDEV INTDDELETEEX|5 INTDLOADEXACTUAL|5 INTDLOADEXCUT|5 INTDLOADEXDATES|5 INTDLOADEX|5 INTDLOADEXRELATEDCHANNEL|5 INTDSAVEEX|5 MVLOAD|5 MVLOADACCT|5 MVLOADACCTDATES|5 MVLOADACCTHIST|5 MVLOADDATES|5 MVLOADHIST|5 MVLOADLIST|5 MVLOADLISTDATES|5 MVLOADLISTHIST|5 IF FOR NEXT DONE SELECT END CALL ABORT CLEAR CHANNEL FACTOR LIST NUMBER OVERRIDE SET WEEK DISTRIBUTIONNODE ELSE WHEN THEN OTHERWISE IENUM CSV INCLUDE LEAVE RIDER SAVE DELETE NOVALUE SECTION WARN SAVE_UPDATE DETERMINANT LABEL REPORT REVENUE EACH IN FROM TOTAL CHARGE BLOCK AND OR CSV_FILE RATE_CODE AUXILIARY_DEMAND UIDACCOUNT RS BILL_PERIOD_SELECT HOURS_PER_MONTH INTD_ERROR_STOP SEASON_SCHEDULE_NAME ACCOUNTFACTOR ARRAYUPPERBOUND CALLSTOREDPROC GETADOCONNECTION GETCONNECT GETDATASOURCE GETQUALIFIER GETUSERID HASVALUE LISTCOUNT LISTOP LISTUPDATE LISTVALUE PRORATEFACTOR RSPRORATE SETBINPATH SETDBMONITOR WQ_OPEN BILLINGHOURS DATE DATEFROMFLOAT DATETIMEFROMSTRING DATETIMETOSTRING DATETOFLOAT DAY DAYDIFF DAYNAME DBDATETIME HOUR MINUTE MONTH MONTHDIFF MONTHHOURS MONTHNAME ROUNDDATE SAMEWEEKDAYLASTYEAR SECOND WEEKDAY WEEKDIFF YEAR YEARDAY YEARSTR COMPSUM HISTCOUNT HISTMAX HISTMIN HISTMINNZ HISTVALUE MAXNRANGE MAXRANGE MINRANGE COMPIKVA COMPKVA COMPKVARFROMKQKW COMPLF IDATTR FLAG LF2KW LF2KWH MAXKW POWERFACTOR READING2USAGE AVGSEASON MAXSEASON MONTHLYMERGE SEASONVALUE SUMSEASON ACCTREADDATES ACCTTABLELOAD CONFIGADD CONFIGGET CREATEOBJECT CREATEREPORT EMAILCLIENT EXPBLKMDMUSAGE EXPMDMUSAGE EXPORT_USAGE FACTORINEFFECT GETUSERSPECIFIEDSTOP INEFFECT ISHOLIDAY RUNRATE SAVE_PROFILE SETREPORTTITLE USEREXIT WATFORRUNRATE TO TABLE ACOS ASIN ATAN ATAN2 BITAND CEIL COS COSECANT COSH COTANGENT DIVQUOT DIVREM EXP FABS FLOOR FMOD FREPM FREXPN LOG LOG10 MAX MAXN MIN MINNZ MODF POW ROUND ROUND2VALUE ROUNDINT SECANT SIN SINH SQROOT TAN TANH FLOAT2STRING FLOAT2STRINGNC INSTR LEFT LEN LTRIM MID RIGHT RTRIM STRING STRINGNC TOLOWER TOUPPER TRIM NUMDAYS READ_DATE STAGING",
            built_in: "IDENTIFIER OPTIONS XML_ELEMENT XML_OP XML_ELEMENT_OF DOMDOCCREATE DOMDOCLOADFILE DOMDOCLOADXML DOMDOCSAVEFILE DOMDOCGETROOT DOMDOCADDPI DOMNODEGETNAME DOMNODEGETTYPE DOMNODEGETVALUE DOMNODEGETCHILDCT DOMNODEGETFIRSTCHILD DOMNODEGETSIBLING DOMNODECREATECHILDELEMENT DOMNODESETATTRIBUTE DOMNODEGETCHILDELEMENTCT DOMNODEGETFIRSTCHILDELEMENT DOMNODEGETSIBLINGELEMENT DOMNODEGETATTRIBUTECT DOMNODEGETATTRIBUTEI DOMNODEGETATTRIBUTEBYNAME DOMNODEGETBYNAME"
          },
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.C_NUMBER_MODE,
            {
              className: "literal",
              variants: [
                {
                  // looks like #-comment
                  begin: "#\\s+",
                  relevance: 0
                },
                { begin: "#[a-zA-Z .]+" }
              ]
            }
          ]
        };
      }
      module.exports = ruleslanguage;
    }
  });

  // node_modules/highlight.js/lib/languages/rust.js
  var require_rust = __commonJS({
    "node_modules/highlight.js/lib/languages/rust.js"(exports, module) {
      function rust(hljs) {
        const regex = hljs.regex;
        const RAW_IDENTIFIER = /(r#)?/;
        const UNDERSCORE_IDENT_RE = regex.concat(RAW_IDENTIFIER, hljs.UNDERSCORE_IDENT_RE);
        const IDENT_RE = regex.concat(RAW_IDENTIFIER, hljs.IDENT_RE);
        const FUNCTION_INVOKE = {
          className: "title.function.invoke",
          relevance: 0,
          begin: regex.concat(
            /\b/,
            /(?!let|for|while|if|else|match\b)/,
            IDENT_RE,
            regex.lookahead(/\s*\(/)
          )
        };
        const NUMBER_SUFFIX = "([ui](8|16|32|64|128|size)|f(32|64))?";
        const KEYWORDS = [
          "abstract",
          "as",
          "async",
          "await",
          "become",
          "box",
          "break",
          "const",
          "continue",
          "crate",
          "do",
          "dyn",
          "else",
          "enum",
          "extern",
          "false",
          "final",
          "fn",
          "for",
          "if",
          "impl",
          "in",
          "let",
          "loop",
          "macro",
          "match",
          "mod",
          "move",
          "mut",
          "override",
          "priv",
          "pub",
          "ref",
          "return",
          "self",
          "Self",
          "static",
          "struct",
          "super",
          "trait",
          "true",
          "try",
          "type",
          "typeof",
          "union",
          "unsafe",
          "unsized",
          "use",
          "virtual",
          "where",
          "while",
          "yield"
        ];
        const LITERALS = [
          "true",
          "false",
          "Some",
          "None",
          "Ok",
          "Err"
        ];
        const BUILTINS = [
          // functions
          "drop ",
          // traits
          "Copy",
          "Send",
          "Sized",
          "Sync",
          "Drop",
          "Fn",
          "FnMut",
          "FnOnce",
          "ToOwned",
          "Clone",
          "Debug",
          "PartialEq",
          "PartialOrd",
          "Eq",
          "Ord",
          "AsRef",
          "AsMut",
          "Into",
          "From",
          "Default",
          "Iterator",
          "Extend",
          "IntoIterator",
          "DoubleEndedIterator",
          "ExactSizeIterator",
          "SliceConcatExt",
          "ToString",
          // macros
          "assert!",
          "assert_eq!",
          "bitflags!",
          "bytes!",
          "cfg!",
          "col!",
          "concat!",
          "concat_idents!",
          "debug_assert!",
          "debug_assert_eq!",
          "env!",
          "eprintln!",
          "panic!",
          "file!",
          "format!",
          "format_args!",
          "include_bytes!",
          "include_str!",
          "line!",
          "local_data_key!",
          "module_path!",
          "option_env!",
          "print!",
          "println!",
          "select!",
          "stringify!",
          "try!",
          "unimplemented!",
          "unreachable!",
          "vec!",
          "write!",
          "writeln!",
          "macro_rules!",
          "assert_ne!",
          "debug_assert_ne!"
        ];
        const TYPES = [
          "i8",
          "i16",
          "i32",
          "i64",
          "i128",
          "isize",
          "u8",
          "u16",
          "u32",
          "u64",
          "u128",
          "usize",
          "f32",
          "f64",
          "str",
          "char",
          "bool",
          "Box",
          "Option",
          "Result",
          "String",
          "Vec"
        ];
        return {
          name: "Rust",
          aliases: ["rs"],
          keywords: {
            $pattern: hljs.IDENT_RE + "!?",
            type: TYPES,
            keyword: KEYWORDS,
            literal: LITERALS,
            built_in: BUILTINS
          },
          illegal: "</",
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.COMMENT("/\\*", "\\*/", { contains: ["self"] }),
            hljs.inherit(hljs.QUOTE_STRING_MODE, {
              begin: /b?"/,
              illegal: null
            }),
            {
              className: "symbol",
              // negative lookahead to avoid matching `'`
              begin: /'[a-zA-Z_][a-zA-Z0-9_]*(?!')/
            },
            {
              scope: "string",
              variants: [
                { begin: /b?r(#*)"(.|\n)*?"\1(?!#)/ },
                {
                  begin: /b?'/,
                  end: /'/,
                  contains: [
                    {
                      scope: "char.escape",
                      match: /\\('|\w|x\w{2}|u\w{4}|U\w{8})/
                    }
                  ]
                }
              ]
            },
            {
              className: "number",
              variants: [
                { begin: "\\b0b([01_]+)" + NUMBER_SUFFIX },
                { begin: "\\b0o([0-7_]+)" + NUMBER_SUFFIX },
                { begin: "\\b0x([A-Fa-f0-9_]+)" + NUMBER_SUFFIX },
                { begin: "\\b(\\d[\\d_]*(\\.[0-9_]+)?([eE][+-]?[0-9_]+)?)" + NUMBER_SUFFIX }
              ],
              relevance: 0
            },
            {
              begin: [
                /fn/,
                /\s+/,
                UNDERSCORE_IDENT_RE
              ],
              className: {
                1: "keyword",
                3: "title.function"
              }
            },
            {
              className: "meta",
              begin: "#!?\\[",
              end: "\\]",
              contains: [
                {
                  className: "string",
                  begin: /"/,
                  end: /"/,
                  contains: [
                    hljs.BACKSLASH_ESCAPE
                  ]
                }
              ]
            },
            {
              begin: [
                /let/,
                /\s+/,
                /(?:mut\s+)?/,
                UNDERSCORE_IDENT_RE
              ],
              className: {
                1: "keyword",
                3: "keyword",
                4: "variable"
              }
            },
            // must come before impl/for rule later
            {
              begin: [
                /for/,
                /\s+/,
                UNDERSCORE_IDENT_RE,
                /\s+/,
                /in/
              ],
              className: {
                1: "keyword",
                3: "variable",
                5: "keyword"
              }
            },
            {
              begin: [
                /type/,
                /\s+/,
                UNDERSCORE_IDENT_RE
              ],
              className: {
                1: "keyword",
                3: "title.class"
              }
            },
            {
              begin: [
                /(?:trait|enum|struct|union|impl|for)/,
                /\s+/,
                UNDERSCORE_IDENT_RE
              ],
              className: {
                1: "keyword",
                3: "title.class"
              }
            },
            {
              begin: hljs.IDENT_RE + "::",
              keywords: {
                keyword: "Self",
                built_in: BUILTINS,
                type: TYPES
              }
            },
            {
              className: "punctuation",
              begin: "->"
            },
            FUNCTION_INVOKE
          ]
        };
      }
      module.exports = rust;
    }
  });

  // node_modules/highlight.js/lib/languages/sas.js
  var require_sas = __commonJS({
    "node_modules/highlight.js/lib/languages/sas.js"(exports, module) {
      function sas(hljs) {
        const regex = hljs.regex;
        const SAS_KEYWORDS = [
          "do",
          "if",
          "then",
          "else",
          "end",
          "until",
          "while",
          "abort",
          "array",
          "attrib",
          "by",
          "call",
          "cards",
          "cards4",
          "catname",
          "continue",
          "datalines",
          "datalines4",
          "delete",
          "delim",
          "delimiter",
          "display",
          "dm",
          "drop",
          "endsas",
          "error",
          "file",
          "filename",
          "footnote",
          "format",
          "goto",
          "in",
          "infile",
          "informat",
          "input",
          "keep",
          "label",
          "leave",
          "length",
          "libname",
          "link",
          "list",
          "lostcard",
          "merge",
          "missing",
          "modify",
          "options",
          "output",
          "out",
          "page",
          "put",
          "redirect",
          "remove",
          "rename",
          "replace",
          "retain",
          "return",
          "select",
          "set",
          "skip",
          "startsas",
          "stop",
          "title",
          "update",
          "waitsas",
          "where",
          "window",
          "x|0",
          "systask",
          "add",
          "and",
          "alter",
          "as",
          "cascade",
          "check",
          "create",
          "delete",
          "describe",
          "distinct",
          "drop",
          "foreign",
          "from",
          "group",
          "having",
          "index",
          "insert",
          "into",
          "in",
          "key",
          "like",
          "message",
          "modify",
          "msgtype",
          "not",
          "null",
          "on",
          "or",
          "order",
          "primary",
          "references",
          "reset",
          "restrict",
          "select",
          "set",
          "table",
          "unique",
          "update",
          "validate",
          "view",
          "where"
        ];
        const FUNCTIONS = [
          "abs",
          "addr",
          "airy",
          "arcos",
          "arsin",
          "atan",
          "attrc",
          "attrn",
          "band",
          "betainv",
          "blshift",
          "bnot",
          "bor",
          "brshift",
          "bxor",
          "byte",
          "cdf",
          "ceil",
          "cexist",
          "cinv",
          "close",
          "cnonct",
          "collate",
          "compbl",
          "compound",
          "compress",
          "cos",
          "cosh",
          "css",
          "curobs",
          "cv",
          "daccdb",
          "daccdbsl",
          "daccsl",
          "daccsyd",
          "dacctab",
          "dairy",
          "date",
          "datejul",
          "datepart",
          "datetime",
          "day",
          "dclose",
          "depdb",
          "depdbsl",
          "depdbsl",
          "depsl",
          "depsl",
          "depsyd",
          "depsyd",
          "deptab",
          "deptab",
          "dequote",
          "dhms",
          "dif",
          "digamma",
          "dim",
          "dinfo",
          "dnum",
          "dopen",
          "doptname",
          "doptnum",
          "dread",
          "dropnote",
          "dsname",
          "erf",
          "erfc",
          "exist",
          "exp",
          "fappend",
          "fclose",
          "fcol",
          "fdelete",
          "fetch",
          "fetchobs",
          "fexist",
          "fget",
          "fileexist",
          "filename",
          "fileref",
          "finfo",
          "finv",
          "fipname",
          "fipnamel",
          "fipstate",
          "floor",
          "fnonct",
          "fnote",
          "fopen",
          "foptname",
          "foptnum",
          "fpoint",
          "fpos",
          "fput",
          "fread",
          "frewind",
          "frlen",
          "fsep",
          "fuzz",
          "fwrite",
          "gaminv",
          "gamma",
          "getoption",
          "getvarc",
          "getvarn",
          "hbound",
          "hms",
          "hosthelp",
          "hour",
          "ibessel",
          "index",
          "indexc",
          "indexw",
          "input",
          "inputc",
          "inputn",
          "int",
          "intck",
          "intnx",
          "intrr",
          "irr",
          "jbessel",
          "juldate",
          "kurtosis",
          "lag",
          "lbound",
          "left",
          "length",
          "lgamma",
          "libname",
          "libref",
          "log",
          "log10",
          "log2",
          "logpdf",
          "logpmf",
          "logsdf",
          "lowcase",
          "max",
          "mdy",
          "mean",
          "min",
          "minute",
          "mod",
          "month",
          "mopen",
          "mort",
          "n",
          "netpv",
          "nmiss",
          "normal",
          "note",
          "npv",
          "open",
          "ordinal",
          "pathname",
          "pdf",
          "peek",
          "peekc",
          "pmf",
          "point",
          "poisson",
          "poke",
          "probbeta",
          "probbnml",
          "probchi",
          "probf",
          "probgam",
          "probhypr",
          "probit",
          "probnegb",
          "probnorm",
          "probt",
          "put",
          "putc",
          "putn",
          "qtr",
          "quote",
          "ranbin",
          "rancau",
          "ranexp",
          "rangam",
          "range",
          "rank",
          "rannor",
          "ranpoi",
          "rantbl",
          "rantri",
          "ranuni",
          "repeat",
          "resolve",
          "reverse",
          "rewind",
          "right",
          "round",
          "saving",
          "scan",
          "sdf",
          "second",
          "sign",
          "sin",
          "sinh",
          "skewness",
          "soundex",
          "spedis",
          "sqrt",
          "std",
          "stderr",
          "stfips",
          "stname",
          "stnamel",
          "substr",
          "sum",
          "symget",
          "sysget",
          "sysmsg",
          "sysprod",
          "sysrc",
          "system",
          "tan",
          "tanh",
          "time",
          "timepart",
          "tinv",
          "tnonct",
          "today",
          "translate",
          "tranwrd",
          "trigamma",
          "trim",
          "trimn",
          "trunc",
          "uniform",
          "upcase",
          "uss",
          "var",
          "varfmt",
          "varinfmt",
          "varlabel",
          "varlen",
          "varname",
          "varnum",
          "varray",
          "varrayx",
          "vartype",
          "verify",
          "vformat",
          "vformatd",
          "vformatdx",
          "vformatn",
          "vformatnx",
          "vformatw",
          "vformatwx",
          "vformatx",
          "vinarray",
          "vinarrayx",
          "vinformat",
          "vinformatd",
          "vinformatdx",
          "vinformatn",
          "vinformatnx",
          "vinformatw",
          "vinformatwx",
          "vinformatx",
          "vlabel",
          "vlabelx",
          "vlength",
          "vlengthx",
          "vname",
          "vnamex",
          "vtype",
          "vtypex",
          "weekday",
          "year",
          "yyq",
          "zipfips",
          "zipname",
          "zipnamel",
          "zipstate"
        ];
        const MACRO_FUNCTIONS = [
          "bquote",
          "nrbquote",
          "cmpres",
          "qcmpres",
          "compstor",
          "datatyp",
          "display",
          "do",
          "else",
          "end",
          "eval",
          "global",
          "goto",
          "if",
          "index",
          "input",
          "keydef",
          "label",
          "left",
          "length",
          "let",
          "local",
          "lowcase",
          "macro",
          "mend",
          "nrbquote",
          "nrquote",
          "nrstr",
          "put",
          "qcmpres",
          "qleft",
          "qlowcase",
          "qscan",
          "qsubstr",
          "qsysfunc",
          "qtrim",
          "quote",
          "qupcase",
          "scan",
          "str",
          "substr",
          "superq",
          "syscall",
          "sysevalf",
          "sysexec",
          "sysfunc",
          "sysget",
          "syslput",
          "sysprod",
          "sysrc",
          "sysrput",
          "then",
          "to",
          "trim",
          "unquote",
          "until",
          "upcase",
          "verify",
          "while",
          "window"
        ];
        const LITERALS = [
          "null",
          "missing",
          "_all_",
          "_automatic_",
          "_character_",
          "_infile_",
          "_n_",
          "_name_",
          "_null_",
          "_numeric_",
          "_user_",
          "_webout_"
        ];
        return {
          name: "SAS",
          case_insensitive: true,
          keywords: {
            literal: LITERALS,
            keyword: SAS_KEYWORDS
          },
          contains: [
            {
              // Distinct highlight for proc <proc>, data, run, quit
              className: "keyword",
              begin: /^\s*(proc [\w\d_]+|data|run|quit)[\s;]/
            },
            {
              // Macro variables
              className: "variable",
              begin: /&[a-zA-Z_&][a-zA-Z0-9_]*\.?/
            },
            {
              begin: [
                /^\s*/,
                /datalines;|cards;/,
                /(?:.*\n)+/,
                /^\s*;\s*$/
              ],
              className: {
                2: "keyword",
                3: "string"
              }
            },
            {
              begin: [
                /%mend|%macro/,
                /\s+/,
                /[a-zA-Z_&][a-zA-Z0-9_]*/
              ],
              className: {
                1: "built_in",
                3: "title.function"
              }
            },
            {
              // Built-in macro variables
              className: "built_in",
              begin: "%" + regex.either(...MACRO_FUNCTIONS)
            },
            {
              // User-defined macro functions
              className: "title.function",
              begin: /%[a-zA-Z_][a-zA-Z_0-9]*/
            },
            {
              // TODO: this is most likely an incorrect classification
              // built_in may need more nuance
              // https://github.com/highlightjs/highlight.js/issues/2521
              className: "meta",
              begin: regex.either(...FUNCTIONS) + "(?=\\()"
            },
            {
              className: "string",
              variants: [
                hljs.APOS_STRING_MODE,
                hljs.QUOTE_STRING_MODE
              ]
            },
            hljs.COMMENT("\\*", ";"),
            hljs.C_BLOCK_COMMENT_MODE
          ]
        };
      }
      module.exports = sas;
    }
  });

  // node_modules/highlight.js/lib/languages/scala.js
  var require_scala = __commonJS({
    "node_modules/highlight.js/lib/languages/scala.js"(exports, module) {
      function scala(hljs) {
        const regex = hljs.regex;
        const ANNOTATION = {
          className: "meta",
          begin: "@[A-Za-z]+"
        };
        const SUBST = {
          className: "subst",
          variants: [
            { begin: "\\$[A-Za-z0-9_]+" },
            {
              begin: /\$\{/,
              end: /\}/
            }
          ]
        };
        const STRING = {
          className: "string",
          variants: [
            {
              begin: '"""',
              end: '"""'
            },
            {
              begin: '"',
              end: '"',
              illegal: "\\n",
              contains: [hljs.BACKSLASH_ESCAPE]
            },
            {
              begin: '[a-z]+"',
              end: '"',
              illegal: "\\n",
              contains: [
                hljs.BACKSLASH_ESCAPE,
                SUBST
              ]
            },
            {
              className: "string",
              begin: '[a-z]+"""',
              end: '"""',
              contains: [SUBST],
              relevance: 10
            }
          ]
        };
        const TYPE = {
          className: "type",
          begin: "\\b[A-Z][A-Za-z0-9_]*",
          relevance: 0
        };
        const NAME = {
          className: "title",
          begin: /[^0-9\n\t "'(),.`{}\[\]:;][^\n\t "'(),.`{}\[\]:;]+|[^0-9\n\t "'(),.`{}\[\]:;=]/,
          relevance: 0
        };
        const CLASS = {
          className: "class",
          beginKeywords: "class object trait type",
          end: /[:={\[\n;]/,
          excludeEnd: true,
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            {
              beginKeywords: "extends with",
              relevance: 10
            },
            {
              begin: /\[/,
              end: /\]/,
              excludeBegin: true,
              excludeEnd: true,
              relevance: 0,
              contains: [
                TYPE,
                hljs.C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE
              ]
            },
            {
              className: "params",
              begin: /\(/,
              end: /\)/,
              excludeBegin: true,
              excludeEnd: true,
              relevance: 0,
              contains: [
                TYPE,
                hljs.C_LINE_COMMENT_MODE,
                hljs.C_BLOCK_COMMENT_MODE
              ]
            },
            NAME
          ]
        };
        const METHOD = {
          className: "function",
          beginKeywords: "def",
          end: regex.lookahead(/[:={\[(\n;]/),
          contains: [NAME]
        };
        const EXTENSION = {
          begin: [
            /^\s*/,
            // Is first token on the line
            "extension",
            /\s+(?=[[(])/
            // followed by at least one space and `[` or `(`
          ],
          beginScope: { 2: "keyword" }
        };
        const END = {
          begin: [
            /^\s*/,
            // Is first token on the line
            /end/,
            /\s+/,
            /(extension\b)?/
            // `extension` is the only marker that follows an `end` that cannot be captured by another rule.
          ],
          beginScope: {
            2: "keyword",
            4: "keyword"
          }
        };
        const INLINE_MODES = [
          { match: /\.inline\b/ },
          {
            begin: /\binline(?=\s)/,
            keywords: "inline"
          }
        ];
        const USING_PARAM_CLAUSE = {
          begin: [
            /\(\s*/,
            // Opening `(` of a parameter or argument list
            /using/,
            /\s+(?!\))/
            // Spaces not followed by `)`
          ],
          beginScope: { 2: "keyword" }
        };
        const DIRECTIVE_VALUE = {
          className: "string",
          begin: /\S+/
        };
        const USING_DIRECTIVE = {
          begin: [
            "//>",
            /\s+/,
            /using/,
            /\s+/,
            /\S+/
          ],
          beginScope: {
            1: "comment",
            3: "keyword",
            5: "type"
          },
          end: /$/,
          contains: [
            DIRECTIVE_VALUE
          ]
        };
        return {
          name: "Scala",
          keywords: {
            literal: "true false null",
            keyword: "type yield lazy override def with val var sealed abstract private trait object if then forSome for while do throw finally protected extends import final return else break new catch super class case package default try this match continue throws implicit export enum given transparent"
          },
          contains: [
            USING_DIRECTIVE,
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            STRING,
            TYPE,
            METHOD,
            CLASS,
            hljs.C_NUMBER_MODE,
            EXTENSION,
            END,
            ...INLINE_MODES,
            USING_PARAM_CLAUSE,
            ANNOTATION
          ]
        };
      }
      module.exports = scala;
    }
  });

  // node_modules/highlight.js/lib/languages/scheme.js
  var require_scheme = __commonJS({
    "node_modules/highlight.js/lib/languages/scheme.js"(exports, module) {
      function scheme(hljs) {
        const SCHEME_IDENT_RE = "[^\\(\\)\\[\\]\\{\\}\",'`;#|\\\\\\s]+";
        const SCHEME_SIMPLE_NUMBER_RE = "(-|\\+)?\\d+([./]\\d+)?";
        const SCHEME_COMPLEX_NUMBER_RE = SCHEME_SIMPLE_NUMBER_RE + "[+\\-]" + SCHEME_SIMPLE_NUMBER_RE + "i";
        const KEYWORDS = {
          $pattern: SCHEME_IDENT_RE,
          built_in: "case-lambda call/cc class define-class exit-handler field import inherit init-field interface let*-values let-values let/ec mixin opt-lambda override protect provide public rename require require-for-syntax syntax syntax-case syntax-error unit/sig unless when with-syntax and begin call-with-current-continuation call-with-input-file call-with-output-file case cond define define-syntax delay do dynamic-wind else for-each if lambda let let* let-syntax letrec letrec-syntax map or syntax-rules ' * + , ,@ - ... / ; < <= = => > >= ` abs acos angle append apply asin assoc assq assv atan boolean? caar cadr call-with-input-file call-with-output-file call-with-values car cdddar cddddr cdr ceiling char->integer char-alphabetic? char-ci<=? char-ci<? char-ci=? char-ci>=? char-ci>? char-downcase char-lower-case? char-numeric? char-ready? char-upcase char-upper-case? char-whitespace? char<=? char<? char=? char>=? char>? char? close-input-port close-output-port complex? cons cos current-input-port current-output-port denominator display eof-object? eq? equal? eqv? eval even? exact->inexact exact? exp expt floor force gcd imag-part inexact->exact inexact? input-port? integer->char integer? interaction-environment lcm length list list->string list->vector list-ref list-tail list? load log magnitude make-polar make-rectangular make-string make-vector max member memq memv min modulo negative? newline not null-environment null? number->string number? numerator odd? open-input-file open-output-file output-port? pair? peek-char port? positive? procedure? quasiquote quote quotient rational? rationalize read read-char real-part real? remainder reverse round scheme-report-environment set! set-car! set-cdr! sin sqrt string string->list string->number string->symbol string-append string-ci<=? string-ci<? string-ci=? string-ci>=? string-ci>? string-copy string-fill! string-length string-ref string-set! string<=? string<? string=? string>=? string>? string? substring symbol->string symbol? tan transcript-off transcript-on truncate values vector vector->list vector-fill! vector-length vector-ref vector-set! with-input-from-file with-output-to-file write write-char zero?"
        };
        const LITERAL = {
          className: "literal",
          begin: "(#t|#f|#\\\\" + SCHEME_IDENT_RE + "|#\\\\.)"
        };
        const NUMBER = {
          className: "number",
          variants: [
            {
              begin: SCHEME_SIMPLE_NUMBER_RE,
              relevance: 0
            },
            {
              begin: SCHEME_COMPLEX_NUMBER_RE,
              relevance: 0
            },
            { begin: "#b[0-1]+(/[0-1]+)?" },
            { begin: "#o[0-7]+(/[0-7]+)?" },
            { begin: "#x[0-9a-f]+(/[0-9a-f]+)?" }
          ]
        };
        const STRING = hljs.QUOTE_STRING_MODE;
        const COMMENT_MODES = [
          hljs.COMMENT(
            ";",
            "$",
            { relevance: 0 }
          ),
          hljs.COMMENT("#\\|", "\\|#")
        ];
        const IDENT = {
          begin: SCHEME_IDENT_RE,
          relevance: 0
        };
        const QUOTED_IDENT = {
          className: "symbol",
          begin: "'" + SCHEME_IDENT_RE
        };
        const BODY = {
          endsWithParent: true,
          relevance: 0
        };
        const QUOTED_LIST = {
          variants: [
            { begin: /'/ },
            { begin: "`" }
          ],
          contains: [
            {
              begin: "\\(",
              end: "\\)",
              contains: [
                "self",
                LITERAL,
                STRING,
                NUMBER,
                IDENT,
                QUOTED_IDENT
              ]
            }
          ]
        };
        const NAME = {
          className: "name",
          relevance: 0,
          begin: SCHEME_IDENT_RE,
          keywords: KEYWORDS
        };
        const LAMBDA = {
          begin: /lambda/,
          endsWithParent: true,
          returnBegin: true,
          contains: [
            NAME,
            {
              endsParent: true,
              variants: [
                {
                  begin: /\(/,
                  end: /\)/
                },
                {
                  begin: /\[/,
                  end: /\]/
                }
              ],
              contains: [IDENT]
            }
          ]
        };
        const LIST = {
          variants: [
            {
              begin: "\\(",
              end: "\\)"
            },
            {
              begin: "\\[",
              end: "\\]"
            }
          ],
          contains: [
            LAMBDA,
            NAME,
            BODY
          ]
        };
        BODY.contains = [
          LITERAL,
          NUMBER,
          STRING,
          IDENT,
          QUOTED_IDENT,
          QUOTED_LIST,
          LIST
        ].concat(COMMENT_MODES);
        return {
          name: "Scheme",
          aliases: ["scm"],
          illegal: /\S/,
          contains: [
            hljs.SHEBANG(),
            NUMBER,
            STRING,
            QUOTED_IDENT,
            QUOTED_LIST,
            LIST
          ].concat(COMMENT_MODES)
        };
      }
      module.exports = scheme;
    }
  });

  // node_modules/highlight.js/lib/languages/scilab.js
  var require_scilab = __commonJS({
    "node_modules/highlight.js/lib/languages/scilab.js"(exports, module) {
      function scilab(hljs) {
        const COMMON_CONTAINS = [
          hljs.C_NUMBER_MODE,
          {
            className: "string",
            begin: `'|"`,
            end: `'|"`,
            contains: [
              hljs.BACKSLASH_ESCAPE,
              { begin: "''" }
            ]
          }
        ];
        return {
          name: "Scilab",
          aliases: ["sci"],
          keywords: {
            $pattern: /%?\w+/,
            keyword: "abort break case clear catch continue do elseif else endfunction end for function global if pause return resume select try then while",
            literal: "%f %F %t %T %pi %eps %inf %nan %e %i %z %s",
            built_in: (
              // Scilab has more than 2000 functions. Just list the most commons
              "abs and acos asin atan ceil cd chdir clearglobal cosh cos cumprod deff disp error exec execstr exists exp eye gettext floor fprintf fread fsolve imag isdef isempty isinfisnan isvector lasterror length load linspace list listfiles log10 log2 log max min msprintf mclose mopen ones or pathconvert poly printf prod pwd rand real round sinh sin size gsort sprintf sqrt strcat strcmps tring sum system tanh tan type typename warning zeros matrix"
            )
          },
          illegal: '("|#|/\\*|\\s+/\\w+)',
          contains: [
            {
              className: "function",
              beginKeywords: "function",
              end: "$",
              contains: [
                hljs.UNDERSCORE_TITLE_MODE,
                {
                  className: "params",
                  begin: "\\(",
                  end: "\\)"
                }
              ]
            },
            // seems to be a guard against [ident]' or [ident].
            // perhaps to prevent attributes from flagging as keywords?
            {
              begin: "[a-zA-Z_][a-zA-Z_0-9]*[\\.']+",
              relevance: 0
            },
            {
              begin: "\\[",
              end: "\\][\\.']*",
              relevance: 0,
              contains: COMMON_CONTAINS
            },
            hljs.COMMENT("//", "$")
          ].concat(COMMON_CONTAINS)
        };
      }
      module.exports = scilab;
    }
  });

  // node_modules/highlight.js/lib/languages/scss.js
  var require_scss = __commonJS({
    "node_modules/highlight.js/lib/languages/scss.js"(exports, module) {
      var MODES = (hljs) => {
        return {
          IMPORTANT: {
            scope: "meta",
            begin: "!important"
          },
          BLOCK_COMMENT: hljs.C_BLOCK_COMMENT_MODE,
          HEXCOLOR: {
            scope: "number",
            begin: /#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/
          },
          FUNCTION_DISPATCH: {
            className: "built_in",
            begin: /[\w-]+(?=\()/
          },
          ATTRIBUTE_SELECTOR_MODE: {
            scope: "selector-attr",
            begin: /\[/,
            end: /\]/,
            illegal: "$",
            contains: [
              hljs.APOS_STRING_MODE,
              hljs.QUOTE_STRING_MODE
            ]
          },
          CSS_NUMBER_MODE: {
            scope: "number",
            begin: hljs.NUMBER_RE + "(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",
            relevance: 0
          },
          CSS_VARIABLE: {
            className: "attr",
            begin: /--[A-Za-z_][A-Za-z0-9_-]*/
          }
        };
      };
      var HTML_TAGS = [
        "a",
        "abbr",
        "address",
        "article",
        "aside",
        "audio",
        "b",
        "blockquote",
        "body",
        "button",
        "canvas",
        "caption",
        "cite",
        "code",
        "dd",
        "del",
        "details",
        "dfn",
        "div",
        "dl",
        "dt",
        "em",
        "fieldset",
        "figcaption",
        "figure",
        "footer",
        "form",
        "h1",
        "h2",
        "h3",
        "h4",
        "h5",
        "h6",
        "header",
        "hgroup",
        "html",
        "i",
        "iframe",
        "img",
        "input",
        "ins",
        "kbd",
        "label",
        "legend",
        "li",
        "main",
        "mark",
        "menu",
        "nav",
        "object",
        "ol",
        "optgroup",
        "option",
        "p",
        "picture",
        "q",
        "quote",
        "samp",
        "section",
        "select",
        "source",
        "span",
        "strong",
        "summary",
        "sup",
        "table",
        "tbody",
        "td",
        "textarea",
        "tfoot",
        "th",
        "thead",
        "time",
        "tr",
        "ul",
        "var",
        "video"
      ];
      var SVG_TAGS = [
        "defs",
        "g",
        "marker",
        "mask",
        "pattern",
        "svg",
        "switch",
        "symbol",
        "feBlend",
        "feColorMatrix",
        "feComponentTransfer",
        "feComposite",
        "feConvolveMatrix",
        "feDiffuseLighting",
        "feDisplacementMap",
        "feFlood",
        "feGaussianBlur",
        "feImage",
        "feMerge",
        "feMorphology",
        "feOffset",
        "feSpecularLighting",
        "feTile",
        "feTurbulence",
        "linearGradient",
        "radialGradient",
        "stop",
        "circle",
        "ellipse",
        "image",
        "line",
        "path",
        "polygon",
        "polyline",
        "rect",
        "text",
        "use",
        "textPath",
        "tspan",
        "foreignObject",
        "clipPath"
      ];
      var TAGS = [
        ...HTML_TAGS,
        ...SVG_TAGS
      ];
      var MEDIA_FEATURES = [
        "any-hover",
        "any-pointer",
        "aspect-ratio",
        "color",
        "color-gamut",
        "color-index",
        "device-aspect-ratio",
        "device-height",
        "device-width",
        "display-mode",
        "forced-colors",
        "grid",
        "height",
        "hover",
        "inverted-colors",
        "monochrome",
        "orientation",
        "overflow-block",
        "overflow-inline",
        "pointer",
        "prefers-color-scheme",
        "prefers-contrast",
        "prefers-reduced-motion",
        "prefers-reduced-transparency",
        "resolution",
        "scan",
        "scripting",
        "update",
        "width",
        // TODO: find a better solution?
        "min-width",
        "max-width",
        "min-height",
        "max-height"
      ].sort().reverse();
      var PSEUDO_CLASSES = [
        "active",
        "any-link",
        "blank",
        "checked",
        "current",
        "default",
        "defined",
        "dir",
        // dir()
        "disabled",
        "drop",
        "empty",
        "enabled",
        "first",
        "first-child",
        "first-of-type",
        "fullscreen",
        "future",
        "focus",
        "focus-visible",
        "focus-within",
        "has",
        // has()
        "host",
        // host or host()
        "host-context",
        // host-context()
        "hover",
        "indeterminate",
        "in-range",
        "invalid",
        "is",
        // is()
        "lang",
        // lang()
        "last-child",
        "last-of-type",
        "left",
        "link",
        "local-link",
        "not",
        // not()
        "nth-child",
        // nth-child()
        "nth-col",
        // nth-col()
        "nth-last-child",
        // nth-last-child()
        "nth-last-col",
        // nth-last-col()
        "nth-last-of-type",
        //nth-last-of-type()
        "nth-of-type",
        //nth-of-type()
        "only-child",
        "only-of-type",
        "optional",
        "out-of-range",
        "past",
        "placeholder-shown",
        "read-only",
        "read-write",
        "required",
        "right",
        "root",
        "scope",
        "target",
        "target-within",
        "user-invalid",
        "valid",
        "visited",
        "where"
        // where()
      ].sort().reverse();
      var PSEUDO_ELEMENTS = [
        "after",
        "backdrop",
        "before",
        "cue",
        "cue-region",
        "first-letter",
        "first-line",
        "grammar-error",
        "marker",
        "part",
        "placeholder",
        "selection",
        "slotted",
        "spelling-error"
      ].sort().reverse();
      var ATTRIBUTES = [
        "accent-color",
        "align-content",
        "align-items",
        "align-self",
        "alignment-baseline",
        "all",
        "anchor-name",
        "animation",
        "animation-composition",
        "animation-delay",
        "animation-direction",
        "animation-duration",
        "animation-fill-mode",
        "animation-iteration-count",
        "animation-name",
        "animation-play-state",
        "animation-range",
        "animation-range-end",
        "animation-range-start",
        "animation-timeline",
        "animation-timing-function",
        "appearance",
        "aspect-ratio",
        "backdrop-filter",
        "backface-visibility",
        "background",
        "background-attachment",
        "background-blend-mode",
        "background-clip",
        "background-color",
        "background-image",
        "background-origin",
        "background-position",
        "background-position-x",
        "background-position-y",
        "background-repeat",
        "background-size",
        "baseline-shift",
        "block-size",
        "border",
        "border-block",
        "border-block-color",
        "border-block-end",
        "border-block-end-color",
        "border-block-end-style",
        "border-block-end-width",
        "border-block-start",
        "border-block-start-color",
        "border-block-start-style",
        "border-block-start-width",
        "border-block-style",
        "border-block-width",
        "border-bottom",
        "border-bottom-color",
        "border-bottom-left-radius",
        "border-bottom-right-radius",
        "border-bottom-style",
        "border-bottom-width",
        "border-collapse",
        "border-color",
        "border-end-end-radius",
        "border-end-start-radius",
        "border-image",
        "border-image-outset",
        "border-image-repeat",
        "border-image-slice",
        "border-image-source",
        "border-image-width",
        "border-inline",
        "border-inline-color",
        "border-inline-end",
        "border-inline-end-color",
        "border-inline-end-style",
        "border-inline-end-width",
        "border-inline-start",
        "border-inline-start-color",
        "border-inline-start-style",
        "border-inline-start-width",
        "border-inline-style",
        "border-inline-width",
        "border-left",
        "border-left-color",
        "border-left-style",
        "border-left-width",
        "border-radius",
        "border-right",
        "border-right-color",
        "border-right-style",
        "border-right-width",
        "border-spacing",
        "border-start-end-radius",
        "border-start-start-radius",
        "border-style",
        "border-top",
        "border-top-color",
        "border-top-left-radius",
        "border-top-right-radius",
        "border-top-style",
        "border-top-width",
        "border-width",
        "bottom",
        "box-align",
        "box-decoration-break",
        "box-direction",
        "box-flex",
        "box-flex-group",
        "box-lines",
        "box-ordinal-group",
        "box-orient",
        "box-pack",
        "box-shadow",
        "box-sizing",
        "break-after",
        "break-before",
        "break-inside",
        "caption-side",
        "caret-color",
        "clear",
        "clip",
        "clip-path",
        "clip-rule",
        "color",
        "color-interpolation",
        "color-interpolation-filters",
        "color-profile",
        "color-rendering",
        "color-scheme",
        "column-count",
        "column-fill",
        "column-gap",
        "column-rule",
        "column-rule-color",
        "column-rule-style",
        "column-rule-width",
        "column-span",
        "column-width",
        "columns",
        "contain",
        "contain-intrinsic-block-size",
        "contain-intrinsic-height",
        "contain-intrinsic-inline-size",
        "contain-intrinsic-size",
        "contain-intrinsic-width",
        "container",
        "container-name",
        "container-type",
        "content",
        "content-visibility",
        "counter-increment",
        "counter-reset",
        "counter-set",
        "cue",
        "cue-after",
        "cue-before",
        "cursor",
        "cx",
        "cy",
        "direction",
        "display",
        "dominant-baseline",
        "empty-cells",
        "enable-background",
        "field-sizing",
        "fill",
        "fill-opacity",
        "fill-rule",
        "filter",
        "flex",
        "flex-basis",
        "flex-direction",
        "flex-flow",
        "flex-grow",
        "flex-shrink",
        "flex-wrap",
        "float",
        "flood-color",
        "flood-opacity",
        "flow",
        "font",
        "font-display",
        "font-family",
        "font-feature-settings",
        "font-kerning",
        "font-language-override",
        "font-optical-sizing",
        "font-palette",
        "font-size",
        "font-size-adjust",
        "font-smooth",
        "font-smoothing",
        "font-stretch",
        "font-style",
        "font-synthesis",
        "font-synthesis-position",
        "font-synthesis-small-caps",
        "font-synthesis-style",
        "font-synthesis-weight",
        "font-variant",
        "font-variant-alternates",
        "font-variant-caps",
        "font-variant-east-asian",
        "font-variant-emoji",
        "font-variant-ligatures",
        "font-variant-numeric",
        "font-variant-position",
        "font-variation-settings",
        "font-weight",
        "forced-color-adjust",
        "gap",
        "glyph-orientation-horizontal",
        "glyph-orientation-vertical",
        "grid",
        "grid-area",
        "grid-auto-columns",
        "grid-auto-flow",
        "grid-auto-rows",
        "grid-column",
        "grid-column-end",
        "grid-column-start",
        "grid-gap",
        "grid-row",
        "grid-row-end",
        "grid-row-start",
        "grid-template",
        "grid-template-areas",
        "grid-template-columns",
        "grid-template-rows",
        "hanging-punctuation",
        "height",
        "hyphenate-character",
        "hyphenate-limit-chars",
        "hyphens",
        "icon",
        "image-orientation",
        "image-rendering",
        "image-resolution",
        "ime-mode",
        "initial-letter",
        "initial-letter-align",
        "inline-size",
        "inset",
        "inset-area",
        "inset-block",
        "inset-block-end",
        "inset-block-start",
        "inset-inline",
        "inset-inline-end",
        "inset-inline-start",
        "isolation",
        "justify-content",
        "justify-items",
        "justify-self",
        "kerning",
        "left",
        "letter-spacing",
        "lighting-color",
        "line-break",
        "line-height",
        "line-height-step",
        "list-style",
        "list-style-image",
        "list-style-position",
        "list-style-type",
        "margin",
        "margin-block",
        "margin-block-end",
        "margin-block-start",
        "margin-bottom",
        "margin-inline",
        "margin-inline-end",
        "margin-inline-start",
        "margin-left",
        "margin-right",
        "margin-top",
        "margin-trim",
        "marker",
        "marker-end",
        "marker-mid",
        "marker-start",
        "marks",
        "mask",
        "mask-border",
        "mask-border-mode",
        "mask-border-outset",
        "mask-border-repeat",
        "mask-border-slice",
        "mask-border-source",
        "mask-border-width",
        "mask-clip",
        "mask-composite",
        "mask-image",
        "mask-mode",
        "mask-origin",
        "mask-position",
        "mask-repeat",
        "mask-size",
        "mask-type",
        "masonry-auto-flow",
        "math-depth",
        "math-shift",
        "math-style",
        "max-block-size",
        "max-height",
        "max-inline-size",
        "max-width",
        "min-block-size",
        "min-height",
        "min-inline-size",
        "min-width",
        "mix-blend-mode",
        "nav-down",
        "nav-index",
        "nav-left",
        "nav-right",
        "nav-up",
        "none",
        "normal",
        "object-fit",
        "object-position",
        "offset",
        "offset-anchor",
        "offset-distance",
        "offset-path",
        "offset-position",
        "offset-rotate",
        "opacity",
        "order",
        "orphans",
        "outline",
        "outline-color",
        "outline-offset",
        "outline-style",
        "outline-width",
        "overflow",
        "overflow-anchor",
        "overflow-block",
        "overflow-clip-margin",
        "overflow-inline",
        "overflow-wrap",
        "overflow-x",
        "overflow-y",
        "overlay",
        "overscroll-behavior",
        "overscroll-behavior-block",
        "overscroll-behavior-inline",
        "overscroll-behavior-x",
        "overscroll-behavior-y",
        "padding",
        "padding-block",
        "padding-block-end",
        "padding-block-start",
        "padding-bottom",
        "padding-inline",
        "padding-inline-end",
        "padding-inline-start",
        "padding-left",
        "padding-right",
        "padding-top",
        "page",
        "page-break-after",
        "page-break-before",
        "page-break-inside",
        "paint-order",
        "pause",
        "pause-after",
        "pause-before",
        "perspective",
        "perspective-origin",
        "place-content",
        "place-items",
        "place-self",
        "pointer-events",
        "position",
        "position-anchor",
        "position-visibility",
        "print-color-adjust",
        "quotes",
        "r",
        "resize",
        "rest",
        "rest-after",
        "rest-before",
        "right",
        "rotate",
        "row-gap",
        "ruby-align",
        "ruby-position",
        "scale",
        "scroll-behavior",
        "scroll-margin",
        "scroll-margin-block",
        "scroll-margin-block-end",
        "scroll-margin-block-start",
        "scroll-margin-bottom",
        "scroll-margin-inline",
        "scroll-margin-inline-end",
        "scroll-margin-inline-start",
        "scroll-margin-left",
        "scroll-margin-right",
        "scroll-margin-top",
        "scroll-padding",
        "scroll-padding-block",
        "scroll-padding-block-end",
        "scroll-padding-block-start",
        "scroll-padding-bottom",
        "scroll-padding-inline",
        "scroll-padding-inline-end",
        "scroll-padding-inline-start",
        "scroll-padding-left",
        "scroll-padding-right",
        "scroll-padding-top",
        "scroll-snap-align",
        "scroll-snap-stop",
        "scroll-snap-type",
        "scroll-timeline",
        "scroll-timeline-axis",
        "scroll-timeline-name",
        "scrollbar-color",
        "scrollbar-gutter",
        "scrollbar-width",
        "shape-image-threshold",
        "shape-margin",
        "shape-outside",
        "shape-rendering",
        "speak",
        "speak-as",
        "src",
        // @font-face
        "stop-color",
        "stop-opacity",
        "stroke",
        "stroke-dasharray",
        "stroke-dashoffset",
        "stroke-linecap",
        "stroke-linejoin",
        "stroke-miterlimit",
        "stroke-opacity",
        "stroke-width",
        "tab-size",
        "table-layout",
        "text-align",
        "text-align-all",
        "text-align-last",
        "text-anchor",
        "text-combine-upright",
        "text-decoration",
        "text-decoration-color",
        "text-decoration-line",
        "text-decoration-skip",
        "text-decoration-skip-ink",
        "text-decoration-style",
        "text-decoration-thickness",
        "text-emphasis",
        "text-emphasis-color",
        "text-emphasis-position",
        "text-emphasis-style",
        "text-indent",
        "text-justify",
        "text-orientation",
        "text-overflow",
        "text-rendering",
        "text-shadow",
        "text-size-adjust",
        "text-transform",
        "text-underline-offset",
        "text-underline-position",
        "text-wrap",
        "text-wrap-mode",
        "text-wrap-style",
        "timeline-scope",
        "top",
        "touch-action",
        "transform",
        "transform-box",
        "transform-origin",
        "transform-style",
        "transition",
        "transition-behavior",
        "transition-delay",
        "transition-duration",
        "transition-property",
        "transition-timing-function",
        "translate",
        "unicode-bidi",
        "user-modify",
        "user-select",
        "vector-effect",
        "vertical-align",
        "view-timeline",
        "view-timeline-axis",
        "view-timeline-inset",
        "view-timeline-name",
        "view-transition-name",
        "visibility",
        "voice-balance",
        "voice-duration",
        "voice-family",
        "voice-pitch",
        "voice-range",
        "voice-rate",
        "voice-stress",
        "voice-volume",
        "white-space",
        "white-space-collapse",
        "widows",
        "width",
        "will-change",
        "word-break",
        "word-spacing",
        "word-wrap",
        "writing-mode",
        "x",
        "y",
        "z-index",
        "zoom"
      ].sort().reverse();
      function scss(hljs) {
        const modes = MODES(hljs);
        const PSEUDO_ELEMENTS$1 = PSEUDO_ELEMENTS;
        const PSEUDO_CLASSES$1 = PSEUDO_CLASSES;
        const AT_IDENTIFIER = "@[a-z-]+";
        const AT_MODIFIERS = "and or not only";
        const IDENT_RE = "[a-zA-Z-][a-zA-Z0-9_-]*";
        const VARIABLE = {
          className: "variable",
          begin: "(\\$" + IDENT_RE + ")\\b",
          relevance: 0
        };
        return {
          name: "SCSS",
          case_insensitive: true,
          illegal: "[=/|']",
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            // to recognize keyframe 40% etc which are outside the scope of our
            // attribute value mode
            modes.CSS_NUMBER_MODE,
            {
              className: "selector-id",
              begin: "#[A-Za-z0-9_-]+",
              relevance: 0
            },
            {
              className: "selector-class",
              begin: "\\.[A-Za-z0-9_-]+",
              relevance: 0
            },
            modes.ATTRIBUTE_SELECTOR_MODE,
            {
              className: "selector-tag",
              begin: "\\b(" + TAGS.join("|") + ")\\b",
              // was there, before, but why?
              relevance: 0
            },
            {
              className: "selector-pseudo",
              begin: ":(" + PSEUDO_CLASSES$1.join("|") + ")"
            },
            {
              className: "selector-pseudo",
              begin: ":(:)?(" + PSEUDO_ELEMENTS$1.join("|") + ")"
            },
            VARIABLE,
            {
              // pseudo-selector params
              begin: /\(/,
              end: /\)/,
              contains: [modes.CSS_NUMBER_MODE]
            },
            modes.CSS_VARIABLE,
            {
              className: "attribute",
              begin: "\\b(" + ATTRIBUTES.join("|") + ")\\b"
            },
            { begin: "\\b(whitespace|wait|w-resize|visible|vertical-text|vertical-ideographic|uppercase|upper-roman|upper-alpha|underline|transparent|top|thin|thick|text|text-top|text-bottom|tb-rl|table-header-group|table-footer-group|sw-resize|super|strict|static|square|solid|small-caps|separate|se-resize|scroll|s-resize|rtl|row-resize|ridge|right|repeat|repeat-y|repeat-x|relative|progress|pointer|overline|outside|outset|oblique|nowrap|not-allowed|normal|none|nw-resize|no-repeat|no-drop|newspaper|ne-resize|n-resize|move|middle|medium|ltr|lr-tb|lowercase|lower-roman|lower-alpha|loose|list-item|line|line-through|line-edge|lighter|left|keep-all|justify|italic|inter-word|inter-ideograph|inside|inset|inline|inline-block|inherit|inactive|ideograph-space|ideograph-parenthesis|ideograph-numeric|ideograph-alpha|horizontal|hidden|help|hand|groove|fixed|ellipsis|e-resize|double|dotted|distribute|distribute-space|distribute-letter|distribute-all-lines|disc|disabled|default|decimal|dashed|crosshair|collapse|col-resize|circle|char|center|capitalize|break-word|break-all|bottom|both|bolder|bold|block|bidi-override|below|baseline|auto|always|all-scroll|absolute|table|table-cell)\\b" },
            {
              begin: /:/,
              end: /[;}{]/,
              relevance: 0,
              contains: [
                modes.BLOCK_COMMENT,
                VARIABLE,
                modes.HEXCOLOR,
                modes.CSS_NUMBER_MODE,
                hljs.QUOTE_STRING_MODE,
                hljs.APOS_STRING_MODE,
                modes.IMPORTANT,
                modes.FUNCTION_DISPATCH
              ]
            },
            // matching these here allows us to treat them more like regular CSS
            // rules so everything between the {} gets regular rule highlighting,
            // which is what we want for page and font-face
            {
              begin: "@(page|font-face)",
              keywords: {
                $pattern: AT_IDENTIFIER,
                keyword: "@page @font-face"
              }
            },
            {
              begin: "@",
              end: "[{;]",
              returnBegin: true,
              keywords: {
                $pattern: /[a-z-]+/,
                keyword: AT_MODIFIERS,
                attribute: MEDIA_FEATURES.join(" ")
              },
              contains: [
                {
                  begin: AT_IDENTIFIER,
                  className: "keyword"
                },
                {
                  begin: /[a-z-]+(?=:)/,
                  className: "attribute"
                },
                VARIABLE,
                hljs.QUOTE_STRING_MODE,
                hljs.APOS_STRING_MODE,
                modes.HEXCOLOR,
                modes.CSS_NUMBER_MODE
              ]
            },
            modes.FUNCTION_DISPATCH
          ]
        };
      }
      module.exports = scss;
    }
  });

  // node_modules/highlight.js/lib/languages/shell.js
  var require_shell = __commonJS({
    "node_modules/highlight.js/lib/languages/shell.js"(exports, module) {
      function shell(hljs) {
        return {
          name: "Shell Session",
          aliases: [
            "console",
            "shellsession"
          ],
          contains: [
            {
              className: "meta.prompt",
              // We cannot add \s (spaces) in the regular expression otherwise it will be too broad and produce unexpected result.
              // For instance, in the following example, it would match "echo /path/to/home >" as a prompt:
              // echo /path/to/home > t.exe
              begin: /^\s{0,3}[/~\w\d[\]()@-]*[>%$#][ ]?/,
              starts: {
                end: /[^\\](?=\s*$)/,
                subLanguage: "bash"
              }
            }
          ]
        };
      }
      module.exports = shell;
    }
  });

  // node_modules/highlight.js/lib/languages/smali.js
  var require_smali = __commonJS({
    "node_modules/highlight.js/lib/languages/smali.js"(exports, module) {
      function smali(hljs) {
        const smali_instr_low_prio = [
          "add",
          "and",
          "cmp",
          "cmpg",
          "cmpl",
          "const",
          "div",
          "double",
          "float",
          "goto",
          "if",
          "int",
          "long",
          "move",
          "mul",
          "neg",
          "new",
          "nop",
          "not",
          "or",
          "rem",
          "return",
          "shl",
          "shr",
          "sput",
          "sub",
          "throw",
          "ushr",
          "xor"
        ];
        const smali_instr_high_prio = [
          "aget",
          "aput",
          "array",
          "check",
          "execute",
          "fill",
          "filled",
          "goto/16",
          "goto/32",
          "iget",
          "instance",
          "invoke",
          "iput",
          "monitor",
          "packed",
          "sget",
          "sparse"
        ];
        const smali_keywords = [
          "transient",
          "constructor",
          "abstract",
          "final",
          "synthetic",
          "public",
          "private",
          "protected",
          "static",
          "bridge",
          "system"
        ];
        return {
          name: "Smali",
          contains: [
            {
              className: "string",
              begin: '"',
              end: '"',
              relevance: 0
            },
            hljs.COMMENT(
              "#",
              "$",
              { relevance: 0 }
            ),
            {
              className: "keyword",
              variants: [
                { begin: "\\s*\\.end\\s[a-zA-Z0-9]*" },
                {
                  begin: "^[ ]*\\.[a-zA-Z]*",
                  relevance: 0
                },
                {
                  begin: "\\s:[a-zA-Z_0-9]*",
                  relevance: 0
                },
                { begin: "\\s(" + smali_keywords.join("|") + ")" }
              ]
            },
            {
              className: "built_in",
              variants: [
                { begin: "\\s(" + smali_instr_low_prio.join("|") + ")\\s" },
                {
                  begin: "\\s(" + smali_instr_low_prio.join("|") + ")((-|/)[a-zA-Z0-9]+)+\\s",
                  relevance: 10
                },
                {
                  begin: "\\s(" + smali_instr_high_prio.join("|") + ")((-|/)[a-zA-Z0-9]+)*\\s",
                  relevance: 10
                }
              ]
            },
            {
              className: "class",
              begin: "L[^(;:\n]*;",
              relevance: 0
            },
            { begin: "[vp][0-9]+" }
          ]
        };
      }
      module.exports = smali;
    }
  });

  // node_modules/highlight.js/lib/languages/smalltalk.js
  var require_smalltalk = __commonJS({
    "node_modules/highlight.js/lib/languages/smalltalk.js"(exports, module) {
      function smalltalk(hljs) {
        const VAR_IDENT_RE = "[a-z][a-zA-Z0-9_]*";
        const CHAR = {
          className: "string",
          begin: "\\$.{1}"
        };
        const SYMBOL = {
          className: "symbol",
          begin: "#" + hljs.UNDERSCORE_IDENT_RE
        };
        return {
          name: "Smalltalk",
          aliases: ["st"],
          keywords: [
            "self",
            "super",
            "nil",
            "true",
            "false",
            "thisContext"
          ],
          contains: [
            hljs.COMMENT('"', '"'),
            hljs.APOS_STRING_MODE,
            {
              className: "type",
              begin: "\\b[A-Z][A-Za-z0-9_]*",
              relevance: 0
            },
            {
              begin: VAR_IDENT_RE + ":",
              relevance: 0
            },
            hljs.C_NUMBER_MODE,
            SYMBOL,
            CHAR,
            {
              // This looks more complicated than needed to avoid combinatorial
              // explosion under V8. It effectively means `| var1 var2 ... |` with
              // whitespace adjacent to `|` being optional.
              begin: "\\|[ ]*" + VAR_IDENT_RE + "([ ]+" + VAR_IDENT_RE + ")*[ ]*\\|",
              returnBegin: true,
              end: /\|/,
              illegal: /\S/,
              contains: [{ begin: "(\\|[ ]*)?" + VAR_IDENT_RE }]
            },
            {
              begin: "#\\(",
              end: "\\)",
              contains: [
                hljs.APOS_STRING_MODE,
                CHAR,
                hljs.C_NUMBER_MODE,
                SYMBOL
              ]
            }
          ]
        };
      }
      module.exports = smalltalk;
    }
  });

  // node_modules/highlight.js/lib/languages/sml.js
  var require_sml = __commonJS({
    "node_modules/highlight.js/lib/languages/sml.js"(exports, module) {
      function sml(hljs) {
        return {
          name: "SML (Standard ML)",
          aliases: ["ml"],
          keywords: {
            $pattern: "[a-z_]\\w*!?",
            keyword: (
              /* according to Definition of Standard ML 97  */
              "abstype and andalso as case datatype do else end eqtype exception fn fun functor handle if in include infix infixr let local nonfix of op open orelse raise rec sharing sig signature struct structure then type val with withtype where while"
            ),
            built_in: (
              /* built-in types according to basis library */
              "array bool char exn int list option order real ref string substring vector unit word"
            ),
            literal: "true false NONE SOME LESS EQUAL GREATER nil"
          },
          illegal: /\/\/|>>/,
          contains: [
            {
              className: "literal",
              begin: /\[(\|\|)?\]|\(\)/,
              relevance: 0
            },
            hljs.COMMENT(
              "\\(\\*",
              "\\*\\)",
              { contains: ["self"] }
            ),
            {
              /* type variable */
              className: "symbol",
              begin: "'[A-Za-z_](?!')[\\w']*"
              /* the grammar is ambiguous on how 'a'b should be interpreted but not the compiler */
            },
            {
              /* polymorphic variant */
              className: "type",
              begin: "`[A-Z][\\w']*"
            },
            {
              /* module or constructor */
              className: "type",
              begin: "\\b[A-Z][\\w']*",
              relevance: 0
            },
            {
              /* don't color identifiers, but safely catch all identifiers with ' */
              begin: "[a-z_]\\w*'[\\w']*"
            },
            hljs.inherit(hljs.APOS_STRING_MODE, {
              className: "string",
              relevance: 0
            }),
            hljs.inherit(hljs.QUOTE_STRING_MODE, { illegal: null }),
            {
              className: "number",
              begin: "\\b(0[xX][a-fA-F0-9_]+[Lln]?|0[oO][0-7_]+[Lln]?|0[bB][01_]+[Lln]?|[0-9][0-9_]*([Lln]|(\\.[0-9_]*)?([eE][-+]?[0-9_]+)?)?)",
              relevance: 0
            },
            {
              begin: /[-=]>/
              // relevance booster
            }
          ]
        };
      }
      module.exports = sml;
    }
  });

  // node_modules/highlight.js/lib/languages/sqf.js
  var require_sqf = __commonJS({
    "node_modules/highlight.js/lib/languages/sqf.js"(exports, module) {
      function sqf(hljs) {
        const VARIABLE = {
          className: "variable",
          begin: /\b_+[a-zA-Z]\w*/
        };
        const FUNCTION = {
          className: "title",
          begin: /[a-zA-Z][a-zA-Z_0-9]*_fnc_[a-zA-Z_0-9]+/
        };
        const STRINGS = {
          className: "string",
          variants: [
            {
              begin: '"',
              end: '"',
              contains: [
                {
                  begin: '""',
                  relevance: 0
                }
              ]
            },
            {
              begin: "'",
              end: "'",
              contains: [
                {
                  begin: "''",
                  relevance: 0
                }
              ]
            }
          ]
        };
        const KEYWORDS = [
          "break",
          "breakWith",
          "breakOut",
          "breakTo",
          "case",
          "catch",
          "continue",
          "continueWith",
          "default",
          "do",
          "else",
          "exit",
          "exitWith",
          "for",
          "forEach",
          "from",
          "if",
          "local",
          "private",
          "switch",
          "step",
          "then",
          "throw",
          "to",
          "try",
          "waitUntil",
          "while",
          "with"
        ];
        const LITERAL = [
          "blufor",
          "civilian",
          "configNull",
          "controlNull",
          "displayNull",
          "diaryRecordNull",
          "east",
          "endl",
          "false",
          "grpNull",
          "independent",
          "lineBreak",
          "locationNull",
          "nil",
          "objNull",
          "opfor",
          "pi",
          "resistance",
          "scriptNull",
          "sideAmbientLife",
          "sideEmpty",
          "sideEnemy",
          "sideFriendly",
          "sideLogic",
          "sideUnknown",
          "taskNull",
          "teamMemberNull",
          "true",
          "west"
        ];
        const BUILT_IN = [
          "abs",
          "accTime",
          "acos",
          "action",
          "actionIDs",
          "actionKeys",
          "actionKeysEx",
          "actionKeysImages",
          "actionKeysNames",
          "actionKeysNamesArray",
          "actionName",
          "actionParams",
          "activateAddons",
          "activatedAddons",
          "activateKey",
          "activeTitleEffectParams",
          "add3DENConnection",
          "add3DENEventHandler",
          "add3DENLayer",
          "addAction",
          "addBackpack",
          "addBackpackCargo",
          "addBackpackCargoGlobal",
          "addBackpackGlobal",
          "addBinocularItem",
          "addCamShake",
          "addCuratorAddons",
          "addCuratorCameraArea",
          "addCuratorEditableObjects",
          "addCuratorEditingArea",
          "addCuratorPoints",
          "addEditorObject",
          "addEventHandler",
          "addForce",
          "addForceGeneratorRTD",
          "addGoggles",
          "addGroupIcon",
          "addHandgunItem",
          "addHeadgear",
          "addItem",
          "addItemCargo",
          "addItemCargoGlobal",
          "addItemPool",
          "addItemToBackpack",
          "addItemToUniform",
          "addItemToVest",
          "addLiveStats",
          "addMagazine",
          "addMagazineAmmoCargo",
          "addMagazineCargo",
          "addMagazineCargoGlobal",
          "addMagazineGlobal",
          "addMagazinePool",
          "addMagazines",
          "addMagazineTurret",
          "addMenu",
          "addMenuItem",
          "addMissionEventHandler",
          "addMPEventHandler",
          "addMusicEventHandler",
          "addonFiles",
          "addOwnedMine",
          "addPlayerScores",
          "addPrimaryWeaponItem",
          "addPublicVariableEventHandler",
          "addRating",
          "addResources",
          "addScore",
          "addScoreSide",
          "addSecondaryWeaponItem",
          "addSwitchableUnit",
          "addTeamMember",
          "addToRemainsCollector",
          "addTorque",
          "addUniform",
          "addUserActionEventHandler",
          "addVehicle",
          "addVest",
          "addWaypoint",
          "addWeapon",
          "addWeaponCargo",
          "addWeaponCargoGlobal",
          "addWeaponGlobal",
          "addWeaponItem",
          "addWeaponPool",
          "addWeaponTurret",
          "addWeaponWithAttachmentsCargo",
          "addWeaponWithAttachmentsCargoGlobal",
          "admin",
          "agent",
          "agents",
          "AGLToASL",
          "aimedAtTarget",
          "aimPos",
          "airDensityCurveRTD",
          "airDensityRTD",
          "airplaneThrottle",
          "airportSide",
          "AISFinishHeal",
          "alive",
          "all3DENEntities",
          "allActiveTitleEffects",
          "allAddonsInfo",
          "allAirports",
          "allControls",
          "allCurators",
          "allCutLayers",
          "allDead",
          "allDeadMen",
          "allDiaryRecords",
          "allDiarySubjects",
          "allDisplays",
          "allEnv3DSoundSources",
          "allGroups",
          "allLODs",
          "allMapMarkers",
          "allMines",
          "allMissionObjects",
          "allObjects",
          "allow3DMode",
          "allowCrewInImmobile",
          "allowCuratorLogicIgnoreAreas",
          "allowDamage",
          "allowDammage",
          "allowedService",
          "allowFileOperations",
          "allowFleeing",
          "allowGetIn",
          "allowService",
          "allowSprint",
          "allPlayers",
          "allSimpleObjects",
          "allSites",
          "allTurrets",
          "allUnits",
          "allUnitsUAV",
          "allUsers",
          "allVariables",
          "ambientTemperature",
          "ammo",
          "ammoOnPylon",
          "and",
          "animate",
          "animateBay",
          "animateDoor",
          "animatePylon",
          "animateSource",
          "animationNames",
          "animationPhase",
          "animationSourcePhase",
          "animationState",
          "apertureParams",
          "append",
          "apply",
          "armoryPoints",
          "arrayIntersect",
          "asin",
          "ASLToAGL",
          "ASLToATL",
          "assert",
          "assignAsCargo",
          "assignAsCargoIndex",
          "assignAsCommander",
          "assignAsDriver",
          "assignAsGunner",
          "assignAsTurret",
          "assignCurator",
          "assignedCargo",
          "assignedCommander",
          "assignedDriver",
          "assignedGroup",
          "assignedGunner",
          "assignedItems",
          "assignedTarget",
          "assignedTeam",
          "assignedVehicle",
          "assignedVehicleRole",
          "assignedVehicles",
          "assignItem",
          "assignTeam",
          "assignToAirport",
          "atan",
          "atan2",
          "atg",
          "ATLToASL",
          "attachedObject",
          "attachedObjects",
          "attachedTo",
          "attachObject",
          "attachTo",
          "attackEnabled",
          "awake",
          "backpack",
          "backpackCargo",
          "backpackContainer",
          "backpackItems",
          "backpackMagazines",
          "backpackSpaceFor",
          "behaviour",
          "benchmark",
          "bezierInterpolation",
          "binocular",
          "binocularItems",
          "binocularMagazine",
          "boundingBox",
          "boundingBoxReal",
          "boundingCenter",
          "brakesDisabled",
          "briefingName",
          "buildingExit",
          "buildingPos",
          "buldozer_EnableRoadDiag",
          "buldozer_IsEnabledRoadDiag",
          "buldozer_LoadNewRoads",
          "buldozer_reloadOperMap",
          "buttonAction",
          "buttonSetAction",
          "cadetMode",
          "calculatePath",
          "calculatePlayerVisibilityByFriendly",
          "call",
          "callExtension",
          "camCommand",
          "camCommit",
          "camCommitPrepared",
          "camCommitted",
          "camConstuctionSetParams",
          "camCreate",
          "camDestroy",
          "cameraEffect",
          "cameraEffectEnableHUD",
          "cameraInterest",
          "cameraOn",
          "cameraView",
          "campaignConfigFile",
          "camPreload",
          "camPreloaded",
          "camPrepareBank",
          "camPrepareDir",
          "camPrepareDive",
          "camPrepareFocus",
          "camPrepareFov",
          "camPrepareFovRange",
          "camPreparePos",
          "camPrepareRelPos",
          "camPrepareTarget",
          "camSetBank",
          "camSetDir",
          "camSetDive",
          "camSetFocus",
          "camSetFov",
          "camSetFovRange",
          "camSetPos",
          "camSetRelPos",
          "camSetTarget",
          "camTarget",
          "camUseNVG",
          "canAdd",
          "canAddItemToBackpack",
          "canAddItemToUniform",
          "canAddItemToVest",
          "cancelSimpleTaskDestination",
          "canDeployWeapon",
          "canFire",
          "canMove",
          "canSlingLoad",
          "canStand",
          "canSuspend",
          "canTriggerDynamicSimulation",
          "canUnloadInCombat",
          "canVehicleCargo",
          "captive",
          "captiveNum",
          "cbChecked",
          "cbSetChecked",
          "ceil",
          "channelEnabled",
          "cheatsEnabled",
          "checkAIFeature",
          "checkVisibility",
          "className",
          "clear3DENAttribute",
          "clear3DENInventory",
          "clearAllItemsFromBackpack",
          "clearBackpackCargo",
          "clearBackpackCargoGlobal",
          "clearForcesRTD",
          "clearGroupIcons",
          "clearItemCargo",
          "clearItemCargoGlobal",
          "clearItemPool",
          "clearMagazineCargo",
          "clearMagazineCargoGlobal",
          "clearMagazinePool",
          "clearOverlay",
          "clearRadio",
          "clearWeaponCargo",
          "clearWeaponCargoGlobal",
          "clearWeaponPool",
          "clientOwner",
          "closeDialog",
          "closeDisplay",
          "closeOverlay",
          "collapseObjectTree",
          "collect3DENHistory",
          "collectiveRTD",
          "collisionDisabledWith",
          "combatBehaviour",
          "combatMode",
          "commandArtilleryFire",
          "commandChat",
          "commander",
          "commandFire",
          "commandFollow",
          "commandFSM",
          "commandGetOut",
          "commandingMenu",
          "commandMove",
          "commandRadio",
          "commandStop",
          "commandSuppressiveFire",
          "commandTarget",
          "commandWatch",
          "comment",
          "commitOverlay",
          "compatibleItems",
          "compatibleMagazines",
          "compile",
          "compileFinal",
          "compileScript",
          "completedFSM",
          "composeText",
          "configClasses",
          "configFile",
          "configHierarchy",
          "configName",
          "configOf",
          "configProperties",
          "configSourceAddonList",
          "configSourceMod",
          "configSourceModList",
          "confirmSensorTarget",
          "connectTerminalToUAV",
          "connectToServer",
          "controlsGroupCtrl",
          "conversationDisabled",
          "copyFromClipboard",
          "copyToClipboard",
          "copyWaypoints",
          "cos",
          "count",
          "countEnemy",
          "countFriendly",
          "countSide",
          "countType",
          "countUnknown",
          "create3DENComposition",
          "create3DENEntity",
          "createAgent",
          "createCenter",
          "createDialog",
          "createDiaryLink",
          "createDiaryRecord",
          "createDiarySubject",
          "createDisplay",
          "createGearDialog",
          "createGroup",
          "createGuardedPoint",
          "createHashMap",
          "createHashMapFromArray",
          "createLocation",
          "createMarker",
          "createMarkerLocal",
          "createMenu",
          "createMine",
          "createMissionDisplay",
          "createMPCampaignDisplay",
          "createSimpleObject",
          "createSimpleTask",
          "createSite",
          "createSoundSource",
          "createTask",
          "createTeam",
          "createTrigger",
          "createUnit",
          "createVehicle",
          "createVehicleCrew",
          "createVehicleLocal",
          "crew",
          "ctAddHeader",
          "ctAddRow",
          "ctClear",
          "ctCurSel",
          "ctData",
          "ctFindHeaderRows",
          "ctFindRowHeader",
          "ctHeaderControls",
          "ctHeaderCount",
          "ctRemoveHeaders",
          "ctRemoveRows",
          "ctrlActivate",
          "ctrlAddEventHandler",
          "ctrlAngle",
          "ctrlAnimateModel",
          "ctrlAnimationPhaseModel",
          "ctrlAt",
          "ctrlAutoScrollDelay",
          "ctrlAutoScrollRewind",
          "ctrlAutoScrollSpeed",
          "ctrlBackgroundColor",
          "ctrlChecked",
          "ctrlClassName",
          "ctrlCommit",
          "ctrlCommitted",
          "ctrlCreate",
          "ctrlDelete",
          "ctrlEnable",
          "ctrlEnabled",
          "ctrlFade",
          "ctrlFontHeight",
          "ctrlForegroundColor",
          "ctrlHTMLLoaded",
          "ctrlIDC",
          "ctrlIDD",
          "ctrlMapAnimAdd",
          "ctrlMapAnimClear",
          "ctrlMapAnimCommit",
          "ctrlMapAnimDone",
          "ctrlMapCursor",
          "ctrlMapMouseOver",
          "ctrlMapPosition",
          "ctrlMapScale",
          "ctrlMapScreenToWorld",
          "ctrlMapSetPosition",
          "ctrlMapWorldToScreen",
          "ctrlModel",
          "ctrlModelDirAndUp",
          "ctrlModelScale",
          "ctrlMousePosition",
          "ctrlParent",
          "ctrlParentControlsGroup",
          "ctrlPosition",
          "ctrlRemoveAllEventHandlers",
          "ctrlRemoveEventHandler",
          "ctrlScale",
          "ctrlScrollValues",
          "ctrlSetActiveColor",
          "ctrlSetAngle",
          "ctrlSetAutoScrollDelay",
          "ctrlSetAutoScrollRewind",
          "ctrlSetAutoScrollSpeed",
          "ctrlSetBackgroundColor",
          "ctrlSetChecked",
          "ctrlSetDisabledColor",
          "ctrlSetEventHandler",
          "ctrlSetFade",
          "ctrlSetFocus",
          "ctrlSetFont",
          "ctrlSetFontH1",
          "ctrlSetFontH1B",
          "ctrlSetFontH2",
          "ctrlSetFontH2B",
          "ctrlSetFontH3",
          "ctrlSetFontH3B",
          "ctrlSetFontH4",
          "ctrlSetFontH4B",
          "ctrlSetFontH5",
          "ctrlSetFontH5B",
          "ctrlSetFontH6",
          "ctrlSetFontH6B",
          "ctrlSetFontHeight",
          "ctrlSetFontHeightH1",
          "ctrlSetFontHeightH2",
          "ctrlSetFontHeightH3",
          "ctrlSetFontHeightH4",
          "ctrlSetFontHeightH5",
          "ctrlSetFontHeightH6",
          "ctrlSetFontHeightSecondary",
          "ctrlSetFontP",
          "ctrlSetFontPB",
          "ctrlSetFontSecondary",
          "ctrlSetForegroundColor",
          "ctrlSetModel",
          "ctrlSetModelDirAndUp",
          "ctrlSetModelScale",
          "ctrlSetMousePosition",
          "ctrlSetPixelPrecision",
          "ctrlSetPosition",
          "ctrlSetPositionH",
          "ctrlSetPositionW",
          "ctrlSetPositionX",
          "ctrlSetPositionY",
          "ctrlSetScale",
          "ctrlSetScrollValues",
          "ctrlSetShadow",
          "ctrlSetStructuredText",
          "ctrlSetText",
          "ctrlSetTextColor",
          "ctrlSetTextColorSecondary",
          "ctrlSetTextSecondary",
          "ctrlSetTextSelection",
          "ctrlSetTooltip",
          "ctrlSetTooltipColorBox",
          "ctrlSetTooltipColorShade",
          "ctrlSetTooltipColorText",
          "ctrlSetTooltipMaxWidth",
          "ctrlSetURL",
          "ctrlSetURLOverlayMode",
          "ctrlShadow",
          "ctrlShow",
          "ctrlShown",
          "ctrlStyle",
          "ctrlText",
          "ctrlTextColor",
          "ctrlTextHeight",
          "ctrlTextSecondary",
          "ctrlTextSelection",
          "ctrlTextWidth",
          "ctrlTooltip",
          "ctrlType",
          "ctrlURL",
          "ctrlURLOverlayMode",
          "ctrlVisible",
          "ctRowControls",
          "ctRowCount",
          "ctSetCurSel",
          "ctSetData",
          "ctSetHeaderTemplate",
          "ctSetRowTemplate",
          "ctSetValue",
          "ctValue",
          "curatorAddons",
          "curatorCamera",
          "curatorCameraArea",
          "curatorCameraAreaCeiling",
          "curatorCoef",
          "curatorEditableObjects",
          "curatorEditingArea",
          "curatorEditingAreaType",
          "curatorMouseOver",
          "curatorPoints",
          "curatorRegisteredObjects",
          "curatorSelected",
          "curatorWaypointCost",
          "current3DENOperation",
          "currentChannel",
          "currentCommand",
          "currentMagazine",
          "currentMagazineDetail",
          "currentMagazineDetailTurret",
          "currentMagazineTurret",
          "currentMuzzle",
          "currentNamespace",
          "currentPilot",
          "currentTask",
          "currentTasks",
          "currentThrowable",
          "currentVisionMode",
          "currentWaypoint",
          "currentWeapon",
          "currentWeaponMode",
          "currentWeaponTurret",
          "currentZeroing",
          "cursorObject",
          "cursorTarget",
          "customChat",
          "customRadio",
          "customWaypointPosition",
          "cutFadeOut",
          "cutObj",
          "cutRsc",
          "cutText",
          "damage",
          "date",
          "dateToNumber",
          "dayTime",
          "deActivateKey",
          "debriefingText",
          "debugFSM",
          "debugLog",
          "decayGraphValues",
          "deg",
          "delete3DENEntities",
          "deleteAt",
          "deleteCenter",
          "deleteCollection",
          "deleteEditorObject",
          "deleteGroup",
          "deleteGroupWhenEmpty",
          "deleteIdentity",
          "deleteLocation",
          "deleteMarker",
          "deleteMarkerLocal",
          "deleteRange",
          "deleteResources",
          "deleteSite",
          "deleteStatus",
          "deleteTeam",
          "deleteVehicle",
          "deleteVehicleCrew",
          "deleteWaypoint",
          "detach",
          "detectedMines",
          "diag_activeMissionFSMs",
          "diag_activeScripts",
          "diag_activeSQFScripts",
          "diag_activeSQSScripts",
          "diag_allMissionEventHandlers",
          "diag_captureFrame",
          "diag_captureFrameToFile",
          "diag_captureSlowFrame",
          "diag_codePerformance",
          "diag_deltaTime",
          "diag_drawmode",
          "diag_dumpCalltraceToLog",
          "diag_dumpScriptAssembly",
          "diag_dumpTerrainSynth",
          "diag_dynamicSimulationEnd",
          "diag_enable",
          "diag_enabled",
          "diag_exportConfig",
          "diag_exportTerrainSVG",
          "diag_fps",
          "diag_fpsmin",
          "diag_frameno",
          "diag_getTerrainSegmentOffset",
          "diag_lightNewLoad",
          "diag_list",
          "diag_localized",
          "diag_log",
          "diag_logSlowFrame",
          "diag_mergeConfigFile",
          "diag_recordTurretLimits",
          "diag_resetFSM",
          "diag_resetshapes",
          "diag_scope",
          "diag_setLightNew",
          "diag_stacktrace",
          "diag_tickTime",
          "diag_toggle",
          "dialog",
          "diarySubjectExists",
          "didJIP",
          "didJIPOwner",
          "difficulty",
          "difficultyEnabled",
          "difficultyEnabledRTD",
          "difficultyOption",
          "direction",
          "directionStabilizationEnabled",
          "directSay",
          "disableAI",
          "disableBrakes",
          "disableCollisionWith",
          "disableConversation",
          "disableDebriefingStats",
          "disableMapIndicators",
          "disableNVGEquipment",
          "disableRemoteSensors",
          "disableSerialization",
          "disableTIEquipment",
          "disableUAVConnectability",
          "disableUserInput",
          "displayAddEventHandler",
          "displayChild",
          "displayCtrl",
          "displayParent",
          "displayRemoveAllEventHandlers",
          "displayRemoveEventHandler",
          "displaySetEventHandler",
          "displayUniqueName",
          "displayUpdate",
          "dissolveTeam",
          "distance",
          "distance2D",
          "distanceSqr",
          "distributionRegion",
          "do3DENAction",
          "doArtilleryFire",
          "doFire",
          "doFollow",
          "doFSM",
          "doGetOut",
          "doMove",
          "doorPhase",
          "doStop",
          "doSuppressiveFire",
          "doTarget",
          "doWatch",
          "drawArrow",
          "drawEllipse",
          "drawIcon",
          "drawIcon3D",
          "drawLaser",
          "drawLine",
          "drawLine3D",
          "drawLink",
          "drawLocation",
          "drawPolygon",
          "drawRectangle",
          "drawTriangle",
          "driver",
          "drop",
          "dynamicSimulationDistance",
          "dynamicSimulationDistanceCoef",
          "dynamicSimulationEnabled",
          "dynamicSimulationSystemEnabled",
          "echo",
          "edit3DENMissionAttributes",
          "editObject",
          "editorSetEventHandler",
          "effectiveCommander",
          "elevatePeriscope",
          "emptyPositions",
          "enableAI",
          "enableAIFeature",
          "enableAimPrecision",
          "enableAttack",
          "enableAudioFeature",
          "enableAutoStartUpRTD",
          "enableAutoTrimRTD",
          "enableCamShake",
          "enableCaustics",
          "enableChannel",
          "enableCollisionWith",
          "enableCopilot",
          "enableDebriefingStats",
          "enableDiagLegend",
          "enableDirectionStabilization",
          "enableDynamicSimulation",
          "enableDynamicSimulationSystem",
          "enableEndDialog",
          "enableEngineArtillery",
          "enableEnvironment",
          "enableFatigue",
          "enableGunLights",
          "enableInfoPanelComponent",
          "enableIRLasers",
          "enableMimics",
          "enablePersonTurret",
          "enableRadio",
          "enableReload",
          "enableRopeAttach",
          "enableSatNormalOnDetail",
          "enableSaving",
          "enableSentences",
          "enableSimulation",
          "enableSimulationGlobal",
          "enableStamina",
          "enableStressDamage",
          "enableTeamSwitch",
          "enableTraffic",
          "enableUAVConnectability",
          "enableUAVWaypoints",
          "enableVehicleCargo",
          "enableVehicleSensor",
          "enableWeaponDisassembly",
          "endLoadingScreen",
          "endMission",
          "engineOn",
          "enginesIsOnRTD",
          "enginesPowerRTD",
          "enginesRpmRTD",
          "enginesTorqueRTD",
          "entities",
          "environmentEnabled",
          "environmentVolume",
          "equipmentDisabled",
          "estimatedEndServerTime",
          "estimatedTimeLeft",
          "evalObjectArgument",
          "everyBackpack",
          "everyContainer",
          "exec",
          "execEditorScript",
          "execFSM",
          "execVM",
          "exp",
          "expectedDestination",
          "exportJIPMessages",
          "eyeDirection",
          "eyePos",
          "face",
          "faction",
          "fadeEnvironment",
          "fadeMusic",
          "fadeRadio",
          "fadeSound",
          "fadeSpeech",
          "failMission",
          "fileExists",
          "fillWeaponsFromPool",
          "find",
          "findAny",
          "findCover",
          "findDisplay",
          "findEditorObject",
          "findEmptyPosition",
          "findEmptyPositionReady",
          "findIf",
          "findNearestEnemy",
          "finishMissionInit",
          "finite",
          "fire",
          "fireAtTarget",
          "firstBackpack",
          "flag",
          "flagAnimationPhase",
          "flagOwner",
          "flagSide",
          "flagTexture",
          "flatten",
          "fleeing",
          "floor",
          "flyInHeight",
          "flyInHeightASL",
          "focusedCtrl",
          "fog",
          "fogForecast",
          "fogParams",
          "forceAddUniform",
          "forceAtPositionRTD",
          "forceCadetDifficulty",
          "forcedMap",
          "forceEnd",
          "forceFlagTexture",
          "forceFollowRoad",
          "forceGeneratorRTD",
          "forceMap",
          "forceRespawn",
          "forceSpeed",
          "forceUnicode",
          "forceWalk",
          "forceWeaponFire",
          "forceWeatherChange",
          "forEachMember",
          "forEachMemberAgent",
          "forEachMemberTeam",
          "forgetTarget",
          "format",
          "formation",
          "formationDirection",
          "formationLeader",
          "formationMembers",
          "formationPosition",
          "formationTask",
          "formatText",
          "formLeader",
          "freeExtension",
          "freeLook",
          "fromEditor",
          "fuel",
          "fullCrew",
          "gearIDCAmmoCount",
          "gearSlotAmmoCount",
          "gearSlotData",
          "gestureState",
          "get",
          "get3DENActionState",
          "get3DENAttribute",
          "get3DENCamera",
          "get3DENConnections",
          "get3DENEntity",
          "get3DENEntityID",
          "get3DENGrid",
          "get3DENIconsVisible",
          "get3DENLayerEntities",
          "get3DENLinesVisible",
          "get3DENMissionAttribute",
          "get3DENMouseOver",
          "get3DENSelected",
          "getAimingCoef",
          "getAllEnv3DSoundControllers",
          "getAllEnvSoundControllers",
          "getAllHitPointsDamage",
          "getAllOwnedMines",
          "getAllPylonsInfo",
          "getAllSoundControllers",
          "getAllUnitTraits",
          "getAmmoCargo",
          "getAnimAimPrecision",
          "getAnimSpeedCoef",
          "getArray",
          "getArtilleryAmmo",
          "getArtilleryComputerSettings",
          "getArtilleryETA",
          "getAssetDLCInfo",
          "getAssignedCuratorLogic",
          "getAssignedCuratorUnit",
          "getAttackTarget",
          "getAudioOptionVolumes",
          "getBackpackCargo",
          "getBleedingRemaining",
          "getBurningValue",
          "getCalculatePlayerVisibilityByFriendly",
          "getCameraViewDirection",
          "getCargoIndex",
          "getCenterOfMass",
          "getClientState",
          "getClientStateNumber",
          "getCompatiblePylonMagazines",
          "getConnectedUAV",
          "getConnectedUAVUnit",
          "getContainerMaxLoad",
          "getCorpse",
          "getCruiseControl",
          "getCursorObjectParams",
          "getCustomAimCoef",
          "getCustomSoundController",
          "getCustomSoundControllerCount",
          "getDammage",
          "getDebriefingText",
          "getDescription",
          "getDir",
          "getDirVisual",
          "getDiverState",
          "getDLCAssetsUsage",
          "getDLCAssetsUsageByName",
          "getDLCs",
          "getDLCUsageTime",
          "getEditorCamera",
          "getEditorMode",
          "getEditorObjectScope",
          "getElevationOffset",
          "getEngineTargetRPMRTD",
          "getEnv3DSoundController",
          "getEnvSoundController",
          "getEventHandlerInfo",
          "getFatigue",
          "getFieldManualStartPage",
          "getForcedFlagTexture",
          "getForcedSpeed",
          "getFriend",
          "getFSMVariable",
          "getFuelCargo",
          "getGraphValues",
          "getGroupIcon",
          "getGroupIconParams",
          "getGroupIcons",
          "getHideFrom",
          "getHit",
          "getHitIndex",
          "getHitPointDamage",
          "getItemCargo",
          "getLighting",
          "getLightingAt",
          "getLoadedModsInfo",
          "getMagazineCargo",
          "getMarkerColor",
          "getMarkerPos",
          "getMarkerSize",
          "getMarkerType",
          "getMass",
          "getMissionConfig",
          "getMissionConfigValue",
          "getMissionDLCs",
          "getMissionLayerEntities",
          "getMissionLayers",
          "getMissionPath",
          "getModelInfo",
          "getMousePosition",
          "getMusicPlayedTime",
          "getNumber",
          "getObjectArgument",
          "getObjectChildren",
          "getObjectDLC",
          "getObjectFOV",
          "getObjectID",
          "getObjectMaterials",
          "getObjectProxy",
          "getObjectScale",
          "getObjectTextures",
          "getObjectType",
          "getObjectViewDistance",
          "getOpticsMode",
          "getOrDefault",
          "getOrDefaultCall",
          "getOxygenRemaining",
          "getPersonUsedDLCs",
          "getPilotCameraDirection",
          "getPilotCameraPosition",
          "getPilotCameraRotation",
          "getPilotCameraTarget",
          "getPiPViewDistance",
          "getPlateNumber",
          "getPlayerChannel",
          "getPlayerID",
          "getPlayerScores",
          "getPlayerUID",
          "getPlayerVoNVolume",
          "getPos",
          "getPosASL",
          "getPosASLVisual",
          "getPosASLW",
          "getPosATL",
          "getPosATLVisual",
          "getPosVisual",
          "getPosWorld",
          "getPosWorldVisual",
          "getPylonMagazines",
          "getRelDir",
          "getRelPos",
          "getRemoteSensorsDisabled",
          "getRepairCargo",
          "getResolution",
          "getRoadInfo",
          "getRotorBrakeRTD",
          "getSensorTargets",
          "getSensorThreats",
          "getShadowDistance",
          "getShotParents",
          "getSlingLoad",
          "getSoundController",
          "getSoundControllerResult",
          "getSpeed",
          "getStamina",
          "getStatValue",
          "getSteamFriendsServers",
          "getSubtitleOptions",
          "getSuppression",
          "getTerrainGrid",
          "getTerrainHeight",
          "getTerrainHeightASL",
          "getTerrainInfo",
          "getText",
          "getTextRaw",
          "getTextureInfo",
          "getTextWidth",
          "getTiParameters",
          "getTotalDLCUsageTime",
          "getTrimOffsetRTD",
          "getTurretLimits",
          "getTurretOpticsMode",
          "getUnitFreefallInfo",
          "getUnitLoadout",
          "getUnitTrait",
          "getUnloadInCombat",
          "getUserInfo",
          "getUserMFDText",
          "getUserMFDValue",
          "getVariable",
          "getVehicleCargo",
          "getVehicleTiPars",
          "getWeaponCargo",
          "getWeaponSway",
          "getWingsOrientationRTD",
          "getWingsPositionRTD",
          "getWPPos",
          "glanceAt",
          "globalChat",
          "globalRadio",
          "goggles",
          "goto",
          "group",
          "groupChat",
          "groupFromNetId",
          "groupIconSelectable",
          "groupIconsVisible",
          "groupID",
          "groupOwner",
          "groupRadio",
          "groups",
          "groupSelectedUnits",
          "groupSelectUnit",
          "gunner",
          "gusts",
          "halt",
          "handgunItems",
          "handgunMagazine",
          "handgunWeapon",
          "handsHit",
          "hashValue",
          "hasInterface",
          "hasPilotCamera",
          "hasWeapon",
          "hcAllGroups",
          "hcGroupParams",
          "hcLeader",
          "hcRemoveAllGroups",
          "hcRemoveGroup",
          "hcSelected",
          "hcSelectGroup",
          "hcSetGroup",
          "hcShowBar",
          "hcShownBar",
          "headgear",
          "hideBody",
          "hideObject",
          "hideObjectGlobal",
          "hideSelection",
          "hint",
          "hintC",
          "hintCadet",
          "hintSilent",
          "hmd",
          "hostMission",
          "htmlLoad",
          "HUDMovementLevels",
          "humidity",
          "image",
          "importAllGroups",
          "importance",
          "in",
          "inArea",
          "inAreaArray",
          "incapacitatedState",
          "inflame",
          "inflamed",
          "infoPanel",
          "infoPanelComponentEnabled",
          "infoPanelComponents",
          "infoPanels",
          "inGameUISetEventHandler",
          "inheritsFrom",
          "initAmbientLife",
          "inPolygon",
          "inputAction",
          "inputController",
          "inputMouse",
          "inRangeOfArtillery",
          "insert",
          "insertEditorObject",
          "intersect",
          "is3DEN",
          "is3DENMultiplayer",
          "is3DENPreview",
          "isAbleToBreathe",
          "isActionMenuVisible",
          "isAgent",
          "isAimPrecisionEnabled",
          "isAllowedCrewInImmobile",
          "isArray",
          "isAutoHoverOn",
          "isAutonomous",
          "isAutoStartUpEnabledRTD",
          "isAutotest",
          "isAutoTrimOnRTD",
          "isAwake",
          "isBleeding",
          "isBurning",
          "isClass",
          "isCollisionLightOn",
          "isCopilotEnabled",
          "isDamageAllowed",
          "isDedicated",
          "isDLCAvailable",
          "isEngineOn",
          "isEqualRef",
          "isEqualTo",
          "isEqualType",
          "isEqualTypeAll",
          "isEqualTypeAny",
          "isEqualTypeArray",
          "isEqualTypeParams",
          "isFilePatchingEnabled",
          "isFinal",
          "isFlashlightOn",
          "isFlatEmpty",
          "isForcedWalk",
          "isFormationLeader",
          "isGameFocused",
          "isGamePaused",
          "isGroupDeletedWhenEmpty",
          "isHidden",
          "isInRemainsCollector",
          "isInstructorFigureEnabled",
          "isIRLaserOn",
          "isKeyActive",
          "isKindOf",
          "isLaserOn",
          "isLightOn",
          "isLocalized",
          "isManualFire",
          "isMarkedForCollection",
          "isMissionProfileNamespaceLoaded",
          "isMultiplayer",
          "isMultiplayerSolo",
          "isNil",
          "isNotEqualRef",
          "isNotEqualTo",
          "isNull",
          "isNumber",
          "isObjectHidden",
          "isObjectRTD",
          "isOnRoad",
          "isPiPEnabled",
          "isPlayer",
          "isRealTime",
          "isRemoteExecuted",
          "isRemoteExecutedJIP",
          "isSaving",
          "isSensorTargetConfirmed",
          "isServer",
          "isShowing3DIcons",
          "isSimpleObject",
          "isSprintAllowed",
          "isStaminaEnabled",
          "isSteamMission",
          "isSteamOverlayEnabled",
          "isStreamFriendlyUIEnabled",
          "isStressDamageEnabled",
          "isText",
          "isTouchingGround",
          "isTurnedOut",
          "isTutHintsEnabled",
          "isUAVConnectable",
          "isUAVConnected",
          "isUIContext",
          "isUniformAllowed",
          "isVehicleCargo",
          "isVehicleRadarOn",
          "isVehicleSensorEnabled",
          "isWalking",
          "isWeaponDeployed",
          "isWeaponRested",
          "itemCargo",
          "items",
          "itemsWithMagazines",
          "join",
          "joinAs",
          "joinAsSilent",
          "joinSilent",
          "joinString",
          "kbAddDatabase",
          "kbAddDatabaseTargets",
          "kbAddTopic",
          "kbHasTopic",
          "kbReact",
          "kbRemoveTopic",
          "kbTell",
          "kbWasSaid",
          "keyImage",
          "keyName",
          "keys",
          "knowsAbout",
          "land",
          "landAt",
          "landResult",
          "language",
          "laserTarget",
          "lbAdd",
          "lbClear",
          "lbColor",
          "lbColorRight",
          "lbCurSel",
          "lbData",
          "lbDelete",
          "lbIsSelected",
          "lbPicture",
          "lbPictureRight",
          "lbSelection",
          "lbSetColor",
          "lbSetColorRight",
          "lbSetCurSel",
          "lbSetData",
          "lbSetPicture",
          "lbSetPictureColor",
          "lbSetPictureColorDisabled",
          "lbSetPictureColorSelected",
          "lbSetPictureRight",
          "lbSetPictureRightColor",
          "lbSetPictureRightColorDisabled",
          "lbSetPictureRightColorSelected",
          "lbSetSelectColor",
          "lbSetSelectColorRight",
          "lbSetSelected",
          "lbSetText",
          "lbSetTextRight",
          "lbSetTooltip",
          "lbSetValue",
          "lbSize",
          "lbSort",
          "lbSortBy",
          "lbSortByValue",
          "lbText",
          "lbTextRight",
          "lbTooltip",
          "lbValue",
          "leader",
          "leaderboardDeInit",
          "leaderboardGetRows",
          "leaderboardInit",
          "leaderboardRequestRowsFriends",
          "leaderboardRequestRowsGlobal",
          "leaderboardRequestRowsGlobalAroundUser",
          "leaderboardsRequestUploadScore",
          "leaderboardsRequestUploadScoreKeepBest",
          "leaderboardState",
          "leaveVehicle",
          "libraryCredits",
          "libraryDisclaimers",
          "lifeState",
          "lightAttachObject",
          "lightDetachObject",
          "lightIsOn",
          "lightnings",
          "limitSpeed",
          "linearConversion",
          "lineIntersects",
          "lineIntersectsObjs",
          "lineIntersectsSurfaces",
          "lineIntersectsWith",
          "linkItem",
          "list",
          "listObjects",
          "listRemoteTargets",
          "listVehicleSensors",
          "ln",
          "lnbAddArray",
          "lnbAddColumn",
          "lnbAddRow",
          "lnbClear",
          "lnbColor",
          "lnbColorRight",
          "lnbCurSelRow",
          "lnbData",
          "lnbDeleteColumn",
          "lnbDeleteRow",
          "lnbGetColumnsPosition",
          "lnbPicture",
          "lnbPictureRight",
          "lnbSetColor",
          "lnbSetColorRight",
          "lnbSetColumnsPos",
          "lnbSetCurSelRow",
          "lnbSetData",
          "lnbSetPicture",
          "lnbSetPictureColor",
          "lnbSetPictureColorRight",
          "lnbSetPictureColorSelected",
          "lnbSetPictureColorSelectedRight",
          "lnbSetPictureRight",
          "lnbSetText",
          "lnbSetTextRight",
          "lnbSetTooltip",
          "lnbSetValue",
          "lnbSize",
          "lnbSort",
          "lnbSortBy",
          "lnbSortByValue",
          "lnbText",
          "lnbTextRight",
          "lnbValue",
          "load",
          "loadAbs",
          "loadBackpack",
          "loadConfig",
          "loadFile",
          "loadGame",
          "loadIdentity",
          "loadMagazine",
          "loadOverlay",
          "loadStatus",
          "loadUniform",
          "loadVest",
          "localize",
          "localNamespace",
          "locationPosition",
          "lock",
          "lockCameraTo",
          "lockCargo",
          "lockDriver",
          "locked",
          "lockedCameraTo",
          "lockedCargo",
          "lockedDriver",
          "lockedInventory",
          "lockedTurret",
          "lockIdentity",
          "lockInventory",
          "lockTurret",
          "lockWp",
          "log",
          "logEntities",
          "logNetwork",
          "logNetworkTerminate",
          "lookAt",
          "lookAtPos",
          "magazineCargo",
          "magazines",
          "magazinesAllTurrets",
          "magazinesAmmo",
          "magazinesAmmoCargo",
          "magazinesAmmoFull",
          "magazinesDetail",
          "magazinesDetailBackpack",
          "magazinesDetailUniform",
          "magazinesDetailVest",
          "magazinesTurret",
          "magazineTurretAmmo",
          "mapAnimAdd",
          "mapAnimClear",
          "mapAnimCommit",
          "mapAnimDone",
          "mapCenterOnCamera",
          "mapGridPosition",
          "markAsFinishedOnSteam",
          "markerAlpha",
          "markerBrush",
          "markerChannel",
          "markerColor",
          "markerDir",
          "markerPolyline",
          "markerPos",
          "markerShadow",
          "markerShape",
          "markerSize",
          "markerText",
          "markerType",
          "matrixMultiply",
          "matrixTranspose",
          "max",
          "maxLoad",
          "members",
          "menuAction",
          "menuAdd",
          "menuChecked",
          "menuClear",
          "menuCollapse",
          "menuData",
          "menuDelete",
          "menuEnable",
          "menuEnabled",
          "menuExpand",
          "menuHover",
          "menuPicture",
          "menuSetAction",
          "menuSetCheck",
          "menuSetData",
          "menuSetPicture",
          "menuSetShortcut",
          "menuSetText",
          "menuSetURL",
          "menuSetValue",
          "menuShortcut",
          "menuShortcutText",
          "menuSize",
          "menuSort",
          "menuText",
          "menuURL",
          "menuValue",
          "merge",
          "min",
          "mineActive",
          "mineDetectedBy",
          "missileTarget",
          "missileTargetPos",
          "missionConfigFile",
          "missionDifficulty",
          "missionEnd",
          "missionName",
          "missionNameSource",
          "missionNamespace",
          "missionProfileNamespace",
          "missionStart",
          "missionVersion",
          "mod",
          "modelToWorld",
          "modelToWorldVisual",
          "modelToWorldVisualWorld",
          "modelToWorldWorld",
          "modParams",
          "moonIntensity",
          "moonPhase",
          "morale",
          "move",
          "move3DENCamera",
          "moveInAny",
          "moveInCargo",
          "moveInCommander",
          "moveInDriver",
          "moveInGunner",
          "moveInTurret",
          "moveObjectToEnd",
          "moveOut",
          "moveTime",
          "moveTo",
          "moveToCompleted",
          "moveToFailed",
          "musicVolume",
          "name",
          "namedProperties",
          "nameSound",
          "nearEntities",
          "nearestBuilding",
          "nearestLocation",
          "nearestLocations",
          "nearestLocationWithDubbing",
          "nearestMines",
          "nearestObject",
          "nearestObjects",
          "nearestTerrainObjects",
          "nearObjects",
          "nearObjectsReady",
          "nearRoads",
          "nearSupplies",
          "nearTargets",
          "needReload",
          "needService",
          "netId",
          "netObjNull",
          "newOverlay",
          "nextMenuItemIndex",
          "nextWeatherChange",
          "nMenuItems",
          "not",
          "numberOfEnginesRTD",
          "numberToDate",
          "objectCurators",
          "objectFromNetId",
          "objectParent",
          "objStatus",
          "onBriefingGroup",
          "onBriefingNotes",
          "onBriefingPlan",
          "onBriefingTeamSwitch",
          "onCommandModeChanged",
          "onDoubleClick",
          "onEachFrame",
          "onGroupIconClick",
          "onGroupIconOverEnter",
          "onGroupIconOverLeave",
          "onHCGroupSelectionChanged",
          "onMapSingleClick",
          "onPlayerConnected",
          "onPlayerDisconnected",
          "onPreloadFinished",
          "onPreloadStarted",
          "onShowNewObject",
          "onTeamSwitch",
          "openCuratorInterface",
          "openDLCPage",
          "openGPS",
          "openMap",
          "openSteamApp",
          "openYoutubeVideo",
          "or",
          "orderGetIn",
          "overcast",
          "overcastForecast",
          "owner",
          "param",
          "params",
          "parseNumber",
          "parseSimpleArray",
          "parseText",
          "parsingNamespace",
          "particlesQuality",
          "periscopeElevation",
          "pickWeaponPool",
          "pitch",
          "pixelGrid",
          "pixelGridBase",
          "pixelGridNoUIScale",
          "pixelH",
          "pixelW",
          "playableSlotsNumber",
          "playableUnits",
          "playAction",
          "playActionNow",
          "player",
          "playerRespawnTime",
          "playerSide",
          "playersNumber",
          "playGesture",
          "playMission",
          "playMove",
          "playMoveNow",
          "playMusic",
          "playScriptedMission",
          "playSound",
          "playSound3D",
          "playSoundUI",
          "pose",
          "position",
          "positionCameraToWorld",
          "posScreenToWorld",
          "posWorldToScreen",
          "ppEffectAdjust",
          "ppEffectCommit",
          "ppEffectCommitted",
          "ppEffectCreate",
          "ppEffectDestroy",
          "ppEffectEnable",
          "ppEffectEnabled",
          "ppEffectForceInNVG",
          "precision",
          "preloadCamera",
          "preloadObject",
          "preloadSound",
          "preloadTitleObj",
          "preloadTitleRsc",
          "preprocessFile",
          "preprocessFileLineNumbers",
          "primaryWeapon",
          "primaryWeaponItems",
          "primaryWeaponMagazine",
          "priority",
          "processDiaryLink",
          "productVersion",
          "profileName",
          "profileNamespace",
          "profileNameSteam",
          "progressLoadingScreen",
          "progressPosition",
          "progressSetPosition",
          "publicVariable",
          "publicVariableClient",
          "publicVariableServer",
          "pushBack",
          "pushBackUnique",
          "putWeaponPool",
          "queryItemsPool",
          "queryMagazinePool",
          "queryWeaponPool",
          "rad",
          "radioChannelAdd",
          "radioChannelCreate",
          "radioChannelInfo",
          "radioChannelRemove",
          "radioChannelSetCallSign",
          "radioChannelSetLabel",
          "radioEnabled",
          "radioVolume",
          "rain",
          "rainbow",
          "rainParams",
          "random",
          "rank",
          "rankId",
          "rating",
          "rectangular",
          "regexFind",
          "regexMatch",
          "regexReplace",
          "registeredTasks",
          "registerTask",
          "reload",
          "reloadEnabled",
          "remoteControl",
          "remoteExec",
          "remoteExecCall",
          "remoteExecutedOwner",
          "remove3DENConnection",
          "remove3DENEventHandler",
          "remove3DENLayer",
          "removeAction",
          "removeAll3DENEventHandlers",
          "removeAllActions",
          "removeAllAssignedItems",
          "removeAllBinocularItems",
          "removeAllContainers",
          "removeAllCuratorAddons",
          "removeAllCuratorCameraAreas",
          "removeAllCuratorEditingAreas",
          "removeAllEventHandlers",
          "removeAllHandgunItems",
          "removeAllItems",
          "removeAllItemsWithMagazines",
          "removeAllMissionEventHandlers",
          "removeAllMPEventHandlers",
          "removeAllMusicEventHandlers",
          "removeAllOwnedMines",
          "removeAllPrimaryWeaponItems",
          "removeAllSecondaryWeaponItems",
          "removeAllUserActionEventHandlers",
          "removeAllWeapons",
          "removeBackpack",
          "removeBackpackGlobal",
          "removeBinocularItem",
          "removeCuratorAddons",
          "removeCuratorCameraArea",
          "removeCuratorEditableObjects",
          "removeCuratorEditingArea",
          "removeDiaryRecord",
          "removeDiarySubject",
          "removeDrawIcon",
          "removeDrawLinks",
          "removeEventHandler",
          "removeFromRemainsCollector",
          "removeGoggles",
          "removeGroupIcon",
          "removeHandgunItem",
          "removeHeadgear",
          "removeItem",
          "removeItemFromBackpack",
          "removeItemFromUniform",
          "removeItemFromVest",
          "removeItems",
          "removeMagazine",
          "removeMagazineGlobal",
          "removeMagazines",
          "removeMagazinesTurret",
          "removeMagazineTurret",
          "removeMenuItem",
          "removeMissionEventHandler",
          "removeMPEventHandler",
          "removeMusicEventHandler",
          "removeOwnedMine",
          "removePrimaryWeaponItem",
          "removeSecondaryWeaponItem",
          "removeSimpleTask",
          "removeSwitchableUnit",
          "removeTeamMember",
          "removeUniform",
          "removeUserActionEventHandler",
          "removeVest",
          "removeWeapon",
          "removeWeaponAttachmentCargo",
          "removeWeaponCargo",
          "removeWeaponGlobal",
          "removeWeaponTurret",
          "reportRemoteTarget",
          "requiredVersion",
          "resetCamShake",
          "resetSubgroupDirection",
          "resize",
          "resources",
          "respawnVehicle",
          "restartEditorCamera",
          "reveal",
          "revealMine",
          "reverse",
          "reversedMouseY",
          "roadAt",
          "roadsConnectedTo",
          "roleDescription",
          "ropeAttachedObjects",
          "ropeAttachedTo",
          "ropeAttachEnabled",
          "ropeAttachTo",
          "ropeCreate",
          "ropeCut",
          "ropeDestroy",
          "ropeDetach",
          "ropeEndPosition",
          "ropeLength",
          "ropes",
          "ropesAttachedTo",
          "ropeSegments",
          "ropeUnwind",
          "ropeUnwound",
          "rotorsForcesRTD",
          "rotorsRpmRTD",
          "round",
          "runInitScript",
          "safeZoneH",
          "safeZoneW",
          "safeZoneWAbs",
          "safeZoneX",
          "safeZoneXAbs",
          "safeZoneY",
          "save3DENInventory",
          "saveGame",
          "saveIdentity",
          "saveJoysticks",
          "saveMissionProfileNamespace",
          "saveOverlay",
          "saveProfileNamespace",
          "saveStatus",
          "saveVar",
          "savingEnabled",
          "say",
          "say2D",
          "say3D",
          "scopeName",
          "score",
          "scoreSide",
          "screenshot",
          "screenToWorld",
          "scriptDone",
          "scriptName",
          "scudState",
          "secondaryWeapon",
          "secondaryWeaponItems",
          "secondaryWeaponMagazine",
          "select",
          "selectBestPlaces",
          "selectDiarySubject",
          "selectedEditorObjects",
          "selectEditorObject",
          "selectionNames",
          "selectionPosition",
          "selectionVectorDirAndUp",
          "selectLeader",
          "selectMax",
          "selectMin",
          "selectNoPlayer",
          "selectPlayer",
          "selectRandom",
          "selectRandomWeighted",
          "selectWeapon",
          "selectWeaponTurret",
          "sendAUMessage",
          "sendSimpleCommand",
          "sendTask",
          "sendTaskResult",
          "sendUDPMessage",
          "sentencesEnabled",
          "serverCommand",
          "serverCommandAvailable",
          "serverCommandExecutable",
          "serverName",
          "serverNamespace",
          "serverTime",
          "set",
          "set3DENAttribute",
          "set3DENAttributes",
          "set3DENGrid",
          "set3DENIconsVisible",
          "set3DENLayer",
          "set3DENLinesVisible",
          "set3DENLogicType",
          "set3DENMissionAttribute",
          "set3DENMissionAttributes",
          "set3DENModelsVisible",
          "set3DENObjectType",
          "set3DENSelected",
          "setAccTime",
          "setActualCollectiveRTD",
          "setAirplaneThrottle",
          "setAirportSide",
          "setAmmo",
          "setAmmoCargo",
          "setAmmoOnPylon",
          "setAnimSpeedCoef",
          "setAperture",
          "setApertureNew",
          "setArmoryPoints",
          "setAttributes",
          "setAutonomous",
          "setBehaviour",
          "setBehaviourStrong",
          "setBleedingRemaining",
          "setBrakesRTD",
          "setCameraInterest",
          "setCamShakeDefParams",
          "setCamShakeParams",
          "setCamUseTi",
          "setCaptive",
          "setCenterOfMass",
          "setCollisionLight",
          "setCombatBehaviour",
          "setCombatMode",
          "setCompassOscillation",
          "setConvoySeparation",
          "setCruiseControl",
          "setCuratorCameraAreaCeiling",
          "setCuratorCoef",
          "setCuratorEditingAreaType",
          "setCuratorWaypointCost",
          "setCurrentChannel",
          "setCurrentTask",
          "setCurrentWaypoint",
          "setCustomAimCoef",
          "SetCustomMissionData",
          "setCustomSoundController",
          "setCustomWeightRTD",
          "setDamage",
          "setDammage",
          "setDate",
          "setDebriefingText",
          "setDefaultCamera",
          "setDestination",
          "setDetailMapBlendPars",
          "setDiaryRecordText",
          "setDiarySubjectPicture",
          "setDir",
          "setDirection",
          "setDrawIcon",
          "setDriveOnPath",
          "setDropInterval",
          "setDynamicSimulationDistance",
          "setDynamicSimulationDistanceCoef",
          "setEditorMode",
          "setEditorObjectScope",
          "setEffectCondition",
          "setEffectiveCommander",
          "setEngineRpmRTD",
          "setFace",
          "setFaceanimation",
          "setFatigue",
          "setFeatureType",
          "setFlagAnimationPhase",
          "setFlagOwner",
          "setFlagSide",
          "setFlagTexture",
          "setFog",
          "setForceGeneratorRTD",
          "setFormation",
          "setFormationTask",
          "setFormDir",
          "setFriend",
          "setFromEditor",
          "setFSMVariable",
          "setFuel",
          "setFuelCargo",
          "setGroupIcon",
          "setGroupIconParams",
          "setGroupIconsSelectable",
          "setGroupIconsVisible",
          "setGroupid",
          "setGroupIdGlobal",
          "setGroupOwner",
          "setGusts",
          "setHideBehind",
          "setHit",
          "setHitIndex",
          "setHitPointDamage",
          "setHorizonParallaxCoef",
          "setHUDMovementLevels",
          "setHumidity",
          "setIdentity",
          "setImportance",
          "setInfoPanel",
          "setLeader",
          "setLightAmbient",
          "setLightAttenuation",
          "setLightBrightness",
          "setLightColor",
          "setLightConePars",
          "setLightDayLight",
          "setLightFlareMaxDistance",
          "setLightFlareSize",
          "setLightIntensity",
          "setLightIR",
          "setLightnings",
          "setLightUseFlare",
          "setLightVolumeShape",
          "setLocalWindParams",
          "setMagazineTurretAmmo",
          "setMarkerAlpha",
          "setMarkerAlphaLocal",
          "setMarkerBrush",
          "setMarkerBrushLocal",
          "setMarkerColor",
          "setMarkerColorLocal",
          "setMarkerDir",
          "setMarkerDirLocal",
          "setMarkerPolyline",
          "setMarkerPolylineLocal",
          "setMarkerPos",
          "setMarkerPosLocal",
          "setMarkerShadow",
          "setMarkerShadowLocal",
          "setMarkerShape",
          "setMarkerShapeLocal",
          "setMarkerSize",
          "setMarkerSizeLocal",
          "setMarkerText",
          "setMarkerTextLocal",
          "setMarkerType",
          "setMarkerTypeLocal",
          "setMass",
          "setMaxLoad",
          "setMimic",
          "setMissileTarget",
          "setMissileTargetPos",
          "setMousePosition",
          "setMusicEffect",
          "setMusicEventHandler",
          "setName",
          "setNameSound",
          "setObjectArguments",
          "setObjectMaterial",
          "setObjectMaterialGlobal",
          "setObjectProxy",
          "setObjectScale",
          "setObjectTexture",
          "setObjectTextureGlobal",
          "setObjectViewDistance",
          "setOpticsMode",
          "setOvercast",
          "setOwner",
          "setOxygenRemaining",
          "setParticleCircle",
          "setParticleClass",
          "setParticleFire",
          "setParticleParams",
          "setParticleRandom",
          "setPilotCameraDirection",
          "setPilotCameraRotation",
          "setPilotCameraTarget",
          "setPilotLight",
          "setPiPEffect",
          "setPiPViewDistance",
          "setPitch",
          "setPlateNumber",
          "setPlayable",
          "setPlayerRespawnTime",
          "setPlayerVoNVolume",
          "setPos",
          "setPosASL",
          "setPosASL2",
          "setPosASLW",
          "setPosATL",
          "setPosition",
          "setPosWorld",
          "setPylonLoadout",
          "setPylonsPriority",
          "setRadioMsg",
          "setRain",
          "setRainbow",
          "setRandomLip",
          "setRank",
          "setRectangular",
          "setRepairCargo",
          "setRotorBrakeRTD",
          "setShadowDistance",
          "setShotParents",
          "setSide",
          "setSimpleTaskAlwaysVisible",
          "setSimpleTaskCustomData",
          "setSimpleTaskDescription",
          "setSimpleTaskDestination",
          "setSimpleTaskTarget",
          "setSimpleTaskType",
          "setSimulWeatherLayers",
          "setSize",
          "setSkill",
          "setSlingLoad",
          "setSoundEffect",
          "setSpeaker",
          "setSpeech",
          "setSpeedMode",
          "setStamina",
          "setStaminaScheme",
          "setStatValue",
          "setSuppression",
          "setSystemOfUnits",
          "setTargetAge",
          "setTaskMarkerOffset",
          "setTaskResult",
          "setTaskState",
          "setTerrainGrid",
          "setTerrainHeight",
          "setText",
          "setTimeMultiplier",
          "setTiParameter",
          "setTitleEffect",
          "setTowParent",
          "setTrafficDensity",
          "setTrafficDistance",
          "setTrafficGap",
          "setTrafficSpeed",
          "setTriggerActivation",
          "setTriggerArea",
          "setTriggerInterval",
          "setTriggerStatements",
          "setTriggerText",
          "setTriggerTimeout",
          "setTriggerType",
          "setTurretLimits",
          "setTurretOpticsMode",
          "setType",
          "setUnconscious",
          "setUnitAbility",
          "setUnitCombatMode",
          "setUnitFreefallHeight",
          "setUnitLoadout",
          "setUnitPos",
          "setUnitPosWeak",
          "setUnitRank",
          "setUnitRecoilCoefficient",
          "setUnitTrait",
          "setUnloadInCombat",
          "setUserActionText",
          "setUserMFDText",
          "setUserMFDValue",
          "setVariable",
          "setVectorDir",
          "setVectorDirAndUp",
          "setVectorUp",
          "setVehicleAmmo",
          "setVehicleAmmoDef",
          "setVehicleArmor",
          "setVehicleCargo",
          "setVehicleId",
          "setVehicleLock",
          "setVehiclePosition",
          "setVehicleRadar",
          "setVehicleReceiveRemoteTargets",
          "setVehicleReportOwnPosition",
          "setVehicleReportRemoteTargets",
          "setVehicleTiPars",
          "setVehicleVarName",
          "setVelocity",
          "setVelocityModelSpace",
          "setVelocityTransformation",
          "setViewDistance",
          "setVisibleIfTreeCollapsed",
          "setWantedRPMRTD",
          "setWaves",
          "setWaypointBehaviour",
          "setWaypointCombatMode",
          "setWaypointCompletionRadius",
          "setWaypointDescription",
          "setWaypointForceBehaviour",
          "setWaypointFormation",
          "setWaypointHousePosition",
          "setWaypointLoiterAltitude",
          "setWaypointLoiterRadius",
          "setWaypointLoiterType",
          "setWaypointName",
          "setWaypointPosition",
          "setWaypointScript",
          "setWaypointSpeed",
          "setWaypointStatements",
          "setWaypointTimeout",
          "setWaypointType",
          "setWaypointVisible",
          "setWeaponReloadingTime",
          "setWeaponZeroing",
          "setWind",
          "setWindDir",
          "setWindForce",
          "setWindStr",
          "setWingForceScaleRTD",
          "setWPPos",
          "show3DIcons",
          "showChat",
          "showCinemaBorder",
          "showCommandingMenu",
          "showCompass",
          "showCuratorCompass",
          "showGps",
          "showHUD",
          "showLegend",
          "showMap",
          "shownArtilleryComputer",
          "shownChat",
          "shownCompass",
          "shownCuratorCompass",
          "showNewEditorObject",
          "shownGps",
          "shownHUD",
          "shownMap",
          "shownPad",
          "shownRadio",
          "shownScoretable",
          "shownSubtitles",
          "shownUAVFeed",
          "shownWarrant",
          "shownWatch",
          "showPad",
          "showRadio",
          "showScoretable",
          "showSubtitles",
          "showUAVFeed",
          "showWarrant",
          "showWatch",
          "showWaypoint",
          "showWaypoints",
          "side",
          "sideChat",
          "sideRadio",
          "simpleTasks",
          "simulationEnabled",
          "simulCloudDensity",
          "simulCloudOcclusion",
          "simulInClouds",
          "simulWeatherSync",
          "sin",
          "size",
          "sizeOf",
          "skill",
          "skillFinal",
          "skipTime",
          "sleep",
          "sliderPosition",
          "sliderRange",
          "sliderSetPosition",
          "sliderSetRange",
          "sliderSetSpeed",
          "sliderSpeed",
          "slingLoadAssistantShown",
          "soldierMagazines",
          "someAmmo",
          "sort",
          "soundVolume",
          "spawn",
          "speaker",
          "speechVolume",
          "speed",
          "speedMode",
          "splitString",
          "sqrt",
          "squadParams",
          "stance",
          "startLoadingScreen",
          "stop",
          "stopEngineRTD",
          "stopped",
          "str",
          "sunOrMoon",
          "supportInfo",
          "suppressFor",
          "surfaceIsWater",
          "surfaceNormal",
          "surfaceTexture",
          "surfaceType",
          "swimInDepth",
          "switchableUnits",
          "switchAction",
          "switchCamera",
          "switchGesture",
          "switchLight",
          "switchMove",
          "synchronizedObjects",
          "synchronizedTriggers",
          "synchronizedWaypoints",
          "synchronizeObjectsAdd",
          "synchronizeObjectsRemove",
          "synchronizeTrigger",
          "synchronizeWaypoint",
          "systemChat",
          "systemOfUnits",
          "systemTime",
          "systemTimeUTC",
          "tan",
          "targetKnowledge",
          "targets",
          "targetsAggregate",
          "targetsQuery",
          "taskAlwaysVisible",
          "taskChildren",
          "taskCompleted",
          "taskCustomData",
          "taskDescription",
          "taskDestination",
          "taskHint",
          "taskMarkerOffset",
          "taskName",
          "taskParent",
          "taskResult",
          "taskState",
          "taskType",
          "teamMember",
          "teamName",
          "teams",
          "teamSwitch",
          "teamSwitchEnabled",
          "teamType",
          "terminate",
          "terrainIntersect",
          "terrainIntersectASL",
          "terrainIntersectAtASL",
          "text",
          "textLog",
          "textLogFormat",
          "tg",
          "time",
          "timeMultiplier",
          "titleCut",
          "titleFadeOut",
          "titleObj",
          "titleRsc",
          "titleText",
          "toArray",
          "toFixed",
          "toLower",
          "toLowerANSI",
          "toString",
          "toUpper",
          "toUpperANSI",
          "triggerActivated",
          "triggerActivation",
          "triggerAmmo",
          "triggerArea",
          "triggerAttachedVehicle",
          "triggerAttachObject",
          "triggerAttachVehicle",
          "triggerDynamicSimulation",
          "triggerInterval",
          "triggerStatements",
          "triggerText",
          "triggerTimeout",
          "triggerTimeoutCurrent",
          "triggerType",
          "trim",
          "turretLocal",
          "turretOwner",
          "turretUnit",
          "tvAdd",
          "tvClear",
          "tvCollapse",
          "tvCollapseAll",
          "tvCount",
          "tvCurSel",
          "tvData",
          "tvDelete",
          "tvExpand",
          "tvExpandAll",
          "tvIsSelected",
          "tvPicture",
          "tvPictureRight",
          "tvSelection",
          "tvSetColor",
          "tvSetCurSel",
          "tvSetData",
          "tvSetPicture",
          "tvSetPictureColor",
          "tvSetPictureColorDisabled",
          "tvSetPictureColorSelected",
          "tvSetPictureRight",
          "tvSetPictureRightColor",
          "tvSetPictureRightColorDisabled",
          "tvSetPictureRightColorSelected",
          "tvSetSelectColor",
          "tvSetSelected",
          "tvSetText",
          "tvSetTooltip",
          "tvSetValue",
          "tvSort",
          "tvSortAll",
          "tvSortByValue",
          "tvSortByValueAll",
          "tvText",
          "tvTooltip",
          "tvValue",
          "type",
          "typeName",
          "typeOf",
          "UAVControl",
          "uiNamespace",
          "uiSleep",
          "unassignCurator",
          "unassignItem",
          "unassignTeam",
          "unassignVehicle",
          "underwater",
          "uniform",
          "uniformContainer",
          "uniformItems",
          "uniformMagazines",
          "uniqueUnitItems",
          "unitAddons",
          "unitAimPosition",
          "unitAimPositionVisual",
          "unitBackpack",
          "unitCombatMode",
          "unitIsUAV",
          "unitPos",
          "unitReady",
          "unitRecoilCoefficient",
          "units",
          "unitsBelowHeight",
          "unitTurret",
          "unlinkItem",
          "unlockAchievement",
          "unregisterTask",
          "updateDrawIcon",
          "updateMenuItem",
          "updateObjectTree",
          "useAIOperMapObstructionTest",
          "useAISteeringComponent",
          "useAudioTimeForMoves",
          "userInputDisabled",
          "values",
          "vectorAdd",
          "vectorCos",
          "vectorCrossProduct",
          "vectorDiff",
          "vectorDir",
          "vectorDirVisual",
          "vectorDistance",
          "vectorDistanceSqr",
          "vectorDotProduct",
          "vectorFromTo",
          "vectorLinearConversion",
          "vectorMagnitude",
          "vectorMagnitudeSqr",
          "vectorModelToWorld",
          "vectorModelToWorldVisual",
          "vectorMultiply",
          "vectorNormalized",
          "vectorUp",
          "vectorUpVisual",
          "vectorWorldToModel",
          "vectorWorldToModelVisual",
          "vehicle",
          "vehicleCargoEnabled",
          "vehicleChat",
          "vehicleMoveInfo",
          "vehicleRadio",
          "vehicleReceiveRemoteTargets",
          "vehicleReportOwnPosition",
          "vehicleReportRemoteTargets",
          "vehicles",
          "vehicleVarName",
          "velocity",
          "velocityModelSpace",
          "verifySignature",
          "vest",
          "vestContainer",
          "vestItems",
          "vestMagazines",
          "viewDistance",
          "visibleCompass",
          "visibleGps",
          "visibleMap",
          "visiblePosition",
          "visiblePositionASL",
          "visibleScoretable",
          "visibleWatch",
          "waves",
          "waypointAttachedObject",
          "waypointAttachedVehicle",
          "waypointAttachObject",
          "waypointAttachVehicle",
          "waypointBehaviour",
          "waypointCombatMode",
          "waypointCompletionRadius",
          "waypointDescription",
          "waypointForceBehaviour",
          "waypointFormation",
          "waypointHousePosition",
          "waypointLoiterAltitude",
          "waypointLoiterRadius",
          "waypointLoiterType",
          "waypointName",
          "waypointPosition",
          "waypoints",
          "waypointScript",
          "waypointsEnabledUAV",
          "waypointShow",
          "waypointSpeed",
          "waypointStatements",
          "waypointTimeout",
          "waypointTimeoutCurrent",
          "waypointType",
          "waypointVisible",
          "weaponAccessories",
          "weaponAccessoriesCargo",
          "weaponCargo",
          "weaponDirection",
          "weaponInertia",
          "weaponLowered",
          "weaponReloadingTime",
          "weapons",
          "weaponsInfo",
          "weaponsItems",
          "weaponsItemsCargo",
          "weaponState",
          "weaponsTurret",
          "weightRTD",
          "WFSideText",
          "wind",
          "windDir",
          "windRTD",
          "windStr",
          "wingsForcesRTD",
          "worldName",
          "worldSize",
          "worldToModel",
          "worldToModelVisual",
          "worldToScreen"
        ];
        const PREPROCESSOR = {
          className: "meta",
          begin: /#\s*[a-z]+\b/,
          end: /$/,
          keywords: "define undef ifdef ifndef else endif include if",
          contains: [
            {
              begin: /\\\n/,
              relevance: 0
            },
            hljs.inherit(STRINGS, { className: "string" }),
            {
              begin: /<[^\n>]*>/,
              end: /$/,
              illegal: "\\n"
            },
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE
          ]
        };
        return {
          name: "SQF",
          case_insensitive: true,
          keywords: {
            keyword: KEYWORDS,
            built_in: BUILT_IN,
            literal: LITERAL
          },
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.NUMBER_MODE,
            VARIABLE,
            FUNCTION,
            STRINGS,
            PREPROCESSOR
          ],
          illegal: [
            //$ is only valid when used with Hex numbers (e.g. $FF)
            /\$[^a-fA-F0-9]/,
            /\w\$/,
            /\?/,
            //There's no ? in SQF
            /@/,
            //There's no @ in SQF
            // Brute-force-fixing the build error. See https://github.com/highlightjs/highlight.js/pull/3193#issuecomment-843088729
            / \| /,
            // . is only used in numbers
            /[a-zA-Z_]\./,
            /\:\=/,
            /\[\:/
          ]
        };
      }
      module.exports = sqf;
    }
  });

  // node_modules/highlight.js/lib/languages/sql.js
  var require_sql = __commonJS({
    "node_modules/highlight.js/lib/languages/sql.js"(exports, module) {
      function sql(hljs) {
        const regex = hljs.regex;
        const COMMENT_MODE = hljs.COMMENT("--", "$");
        const STRING = {
          scope: "string",
          variants: [
            {
              begin: /'/,
              end: /'/,
              contains: [{ match: /''/ }]
            }
          ]
        };
        const QUOTED_IDENTIFIER = {
          begin: /"/,
          end: /"/,
          contains: [{ match: /""/ }]
        };
        const LITERALS = [
          "true",
          "false",
          // Not sure it's correct to call NULL literal, and clauses like IS [NOT] NULL look strange that way.
          // "null",
          "unknown"
        ];
        const MULTI_WORD_TYPES = [
          "double precision",
          "large object",
          "with timezone",
          "without timezone"
        ];
        const TYPES = [
          "bigint",
          "binary",
          "blob",
          "boolean",
          "char",
          "character",
          "clob",
          "date",
          "dec",
          "decfloat",
          "decimal",
          "float",
          "int",
          "integer",
          "interval",
          "nchar",
          "nclob",
          "national",
          "numeric",
          "real",
          "row",
          "smallint",
          "time",
          "timestamp",
          "varchar",
          "varying",
          // modifier (character varying)
          "varbinary"
        ];
        const NON_RESERVED_WORDS = [
          "add",
          "asc",
          "collation",
          "desc",
          "final",
          "first",
          "last",
          "view"
        ];
        const RESERVED_WORDS = [
          "abs",
          "acos",
          "all",
          "allocate",
          "alter",
          "and",
          "any",
          "are",
          "array",
          "array_agg",
          "array_max_cardinality",
          "as",
          "asensitive",
          "asin",
          "asymmetric",
          "at",
          "atan",
          "atomic",
          "authorization",
          "avg",
          "begin",
          "begin_frame",
          "begin_partition",
          "between",
          "bigint",
          "binary",
          "blob",
          "boolean",
          "both",
          "by",
          "call",
          "called",
          "cardinality",
          "cascaded",
          "case",
          "cast",
          "ceil",
          "ceiling",
          "char",
          "char_length",
          "character",
          "character_length",
          "check",
          "classifier",
          "clob",
          "close",
          "coalesce",
          "collate",
          "collect",
          "column",
          "commit",
          "condition",
          "connect",
          "constraint",
          "contains",
          "convert",
          "copy",
          "corr",
          "corresponding",
          "cos",
          "cosh",
          "count",
          "covar_pop",
          "covar_samp",
          "create",
          "cross",
          "cube",
          "cume_dist",
          "current",
          "current_catalog",
          "current_date",
          "current_default_transform_group",
          "current_path",
          "current_role",
          "current_row",
          "current_schema",
          "current_time",
          "current_timestamp",
          "current_path",
          "current_role",
          "current_transform_group_for_type",
          "current_user",
          "cursor",
          "cycle",
          "date",
          "day",
          "deallocate",
          "dec",
          "decimal",
          "decfloat",
          "declare",
          "default",
          "define",
          "delete",
          "dense_rank",
          "deref",
          "describe",
          "deterministic",
          "disconnect",
          "distinct",
          "double",
          "drop",
          "dynamic",
          "each",
          "element",
          "else",
          "empty",
          "end",
          "end_frame",
          "end_partition",
          "end-exec",
          "equals",
          "escape",
          "every",
          "except",
          "exec",
          "execute",
          "exists",
          "exp",
          "external",
          "extract",
          "false",
          "fetch",
          "filter",
          "first_value",
          "float",
          "floor",
          "for",
          "foreign",
          "frame_row",
          "free",
          "from",
          "full",
          "function",
          "fusion",
          "get",
          "global",
          "grant",
          "group",
          "grouping",
          "groups",
          "having",
          "hold",
          "hour",
          "identity",
          "in",
          "indicator",
          "initial",
          "inner",
          "inout",
          "insensitive",
          "insert",
          "int",
          "integer",
          "intersect",
          "intersection",
          "interval",
          "into",
          "is",
          "join",
          "json_array",
          "json_arrayagg",
          "json_exists",
          "json_object",
          "json_objectagg",
          "json_query",
          "json_table",
          "json_table_primitive",
          "json_value",
          "lag",
          "language",
          "large",
          "last_value",
          "lateral",
          "lead",
          "leading",
          "left",
          "like",
          "like_regex",
          "listagg",
          "ln",
          "local",
          "localtime",
          "localtimestamp",
          "log",
          "log10",
          "lower",
          "match",
          "match_number",
          "match_recognize",
          "matches",
          "max",
          "member",
          "merge",
          "method",
          "min",
          "minute",
          "mod",
          "modifies",
          "module",
          "month",
          "multiset",
          "national",
          "natural",
          "nchar",
          "nclob",
          "new",
          "no",
          "none",
          "normalize",
          "not",
          "nth_value",
          "ntile",
          "null",
          "nullif",
          "numeric",
          "octet_length",
          "occurrences_regex",
          "of",
          "offset",
          "old",
          "omit",
          "on",
          "one",
          "only",
          "open",
          "or",
          "order",
          "out",
          "outer",
          "over",
          "overlaps",
          "overlay",
          "parameter",
          "partition",
          "pattern",
          "per",
          "percent",
          "percent_rank",
          "percentile_cont",
          "percentile_disc",
          "period",
          "portion",
          "position",
          "position_regex",
          "power",
          "precedes",
          "precision",
          "prepare",
          "primary",
          "procedure",
          "ptf",
          "range",
          "rank",
          "reads",
          "real",
          "recursive",
          "ref",
          "references",
          "referencing",
          "regr_avgx",
          "regr_avgy",
          "regr_count",
          "regr_intercept",
          "regr_r2",
          "regr_slope",
          "regr_sxx",
          "regr_sxy",
          "regr_syy",
          "release",
          "result",
          "return",
          "returns",
          "revoke",
          "right",
          "rollback",
          "rollup",
          "row",
          "row_number",
          "rows",
          "running",
          "savepoint",
          "scope",
          "scroll",
          "search",
          "second",
          "seek",
          "select",
          "sensitive",
          "session_user",
          "set",
          "show",
          "similar",
          "sin",
          "sinh",
          "skip",
          "smallint",
          "some",
          "specific",
          "specifictype",
          "sql",
          "sqlexception",
          "sqlstate",
          "sqlwarning",
          "sqrt",
          "start",
          "static",
          "stddev_pop",
          "stddev_samp",
          "submultiset",
          "subset",
          "substring",
          "substring_regex",
          "succeeds",
          "sum",
          "symmetric",
          "system",
          "system_time",
          "system_user",
          "table",
          "tablesample",
          "tan",
          "tanh",
          "then",
          "time",
          "timestamp",
          "timezone_hour",
          "timezone_minute",
          "to",
          "trailing",
          "translate",
          "translate_regex",
          "translation",
          "treat",
          "trigger",
          "trim",
          "trim_array",
          "true",
          "truncate",
          "uescape",
          "union",
          "unique",
          "unknown",
          "unnest",
          "update",
          "upper",
          "user",
          "using",
          "value",
          "values",
          "value_of",
          "var_pop",
          "var_samp",
          "varbinary",
          "varchar",
          "varying",
          "versioning",
          "when",
          "whenever",
          "where",
          "width_bucket",
          "window",
          "with",
          "within",
          "without",
          "year"
        ];
        const RESERVED_FUNCTIONS = [
          "abs",
          "acos",
          "array_agg",
          "asin",
          "atan",
          "avg",
          "cast",
          "ceil",
          "ceiling",
          "coalesce",
          "corr",
          "cos",
          "cosh",
          "count",
          "covar_pop",
          "covar_samp",
          "cume_dist",
          "dense_rank",
          "deref",
          "element",
          "exp",
          "extract",
          "first_value",
          "floor",
          "json_array",
          "json_arrayagg",
          "json_exists",
          "json_object",
          "json_objectagg",
          "json_query",
          "json_table",
          "json_table_primitive",
          "json_value",
          "lag",
          "last_value",
          "lead",
          "listagg",
          "ln",
          "log",
          "log10",
          "lower",
          "max",
          "min",
          "mod",
          "nth_value",
          "ntile",
          "nullif",
          "percent_rank",
          "percentile_cont",
          "percentile_disc",
          "position",
          "position_regex",
          "power",
          "rank",
          "regr_avgx",
          "regr_avgy",
          "regr_count",
          "regr_intercept",
          "regr_r2",
          "regr_slope",
          "regr_sxx",
          "regr_sxy",
          "regr_syy",
          "row_number",
          "sin",
          "sinh",
          "sqrt",
          "stddev_pop",
          "stddev_samp",
          "substring",
          "substring_regex",
          "sum",
          "tan",
          "tanh",
          "translate",
          "translate_regex",
          "treat",
          "trim",
          "trim_array",
          "unnest",
          "upper",
          "value_of",
          "var_pop",
          "var_samp",
          "width_bucket"
        ];
        const POSSIBLE_WITHOUT_PARENS = [
          "current_catalog",
          "current_date",
          "current_default_transform_group",
          "current_path",
          "current_role",
          "current_schema",
          "current_transform_group_for_type",
          "current_user",
          "session_user",
          "system_time",
          "system_user",
          "current_time",
          "localtime",
          "current_timestamp",
          "localtimestamp"
        ];
        const COMBOS = [
          "create table",
          "insert into",
          "primary key",
          "foreign key",
          "not null",
          "alter table",
          "add constraint",
          "grouping sets",
          "on overflow",
          "character set",
          "respect nulls",
          "ignore nulls",
          "nulls first",
          "nulls last",
          "depth first",
          "breadth first"
        ];
        const FUNCTIONS = RESERVED_FUNCTIONS;
        const KEYWORDS = [
          ...RESERVED_WORDS,
          ...NON_RESERVED_WORDS
        ].filter((keyword) => {
          return !RESERVED_FUNCTIONS.includes(keyword);
        });
        const VARIABLE = {
          scope: "variable",
          match: /@[a-z0-9][a-z0-9_]*/
        };
        const OPERATOR = {
          scope: "operator",
          match: /[-+*/=%^~]|&&?|\|\|?|!=?|<(?:=>?|<|>)?|>[>=]?/,
          relevance: 0
        };
        const FUNCTION_CALL = {
          match: regex.concat(/\b/, regex.either(...FUNCTIONS), /\s*\(/),
          relevance: 0,
          keywords: { built_in: FUNCTIONS }
        };
        function kws_to_regex(list) {
          return regex.concat(
            /\b/,
            regex.either(...list.map((kw) => {
              return kw.replace(/\s+/, "\\s+");
            })),
            /\b/
          );
        }
        const MULTI_WORD_KEYWORDS = {
          scope: "keyword",
          match: kws_to_regex(COMBOS),
          relevance: 0
        };
        function reduceRelevancy(list, {
          exceptions,
          when
        } = {}) {
          const qualifyFn = when;
          exceptions = exceptions || [];
          return list.map((item) => {
            if (item.match(/\|\d+$/) || exceptions.includes(item)) {
              return item;
            } else if (qualifyFn(item)) {
              return `${item}|0`;
            } else {
              return item;
            }
          });
        }
        return {
          name: "SQL",
          case_insensitive: true,
          // does not include {} or HTML tags `</`
          illegal: /[{}]|<\//,
          keywords: {
            $pattern: /\b[\w\.]+/,
            keyword: reduceRelevancy(KEYWORDS, { when: (x) => x.length < 3 }),
            literal: LITERALS,
            type: TYPES,
            built_in: POSSIBLE_WITHOUT_PARENS
          },
          contains: [
            {
              scope: "type",
              match: kws_to_regex(MULTI_WORD_TYPES)
            },
            MULTI_WORD_KEYWORDS,
            FUNCTION_CALL,
            VARIABLE,
            STRING,
            QUOTED_IDENTIFIER,
            hljs.C_NUMBER_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            COMMENT_MODE,
            OPERATOR
          ]
        };
      }
      module.exports = sql;
    }
  });

  // node_modules/highlight.js/lib/languages/stan.js
  var require_stan = __commonJS({
    "node_modules/highlight.js/lib/languages/stan.js"(exports, module) {
      function stan(hljs) {
        const regex = hljs.regex;
        const BLOCKS = [
          "functions",
          "model",
          "data",
          "parameters",
          "quantities",
          "transformed",
          "generated"
        ];
        const STATEMENTS = [
          "for",
          "in",
          "if",
          "else",
          "while",
          "break",
          "continue",
          "return"
        ];
        const TYPES = [
          "array",
          "tuple",
          "complex",
          "int",
          "real",
          "vector",
          "complex_vector",
          "ordered",
          "positive_ordered",
          "simplex",
          "unit_vector",
          "row_vector",
          "complex_row_vector",
          "matrix",
          "complex_matrix",
          "cholesky_factor_corr|10",
          "cholesky_factor_cov|10",
          "corr_matrix|10",
          "cov_matrix|10",
          "void"
        ];
        const FUNCTIONS = [
          "abs",
          "acos",
          "acosh",
          "add_diag",
          "algebra_solver",
          "algebra_solver_newton",
          "append_array",
          "append_col",
          "append_row",
          "asin",
          "asinh",
          "atan",
          "atan2",
          "atanh",
          "bessel_first_kind",
          "bessel_second_kind",
          "binary_log_loss",
          "block",
          "cbrt",
          "ceil",
          "chol2inv",
          "cholesky_decompose",
          "choose",
          "col",
          "cols",
          "columns_dot_product",
          "columns_dot_self",
          "complex_schur_decompose",
          "complex_schur_decompose_t",
          "complex_schur_decompose_u",
          "conj",
          "cos",
          "cosh",
          "cov_exp_quad",
          "crossprod",
          "csr_extract",
          "csr_extract_u",
          "csr_extract_v",
          "csr_extract_w",
          "csr_matrix_times_vector",
          "csr_to_dense_matrix",
          "cumulative_sum",
          "dae",
          "dae_tol",
          "determinant",
          "diag_matrix",
          "diagonal",
          "diag_post_multiply",
          "diag_pre_multiply",
          "digamma",
          "dims",
          "distance",
          "dot_product",
          "dot_self",
          "eigendecompose",
          "eigendecompose_sym",
          "eigenvalues",
          "eigenvalues_sym",
          "eigenvectors",
          "eigenvectors_sym",
          "erf",
          "erfc",
          "exp",
          "exp2",
          "expm1",
          "falling_factorial",
          "fdim",
          "fft",
          "fft2",
          "floor",
          "fma",
          "fmax",
          "fmin",
          "fmod",
          "gamma_p",
          "gamma_q",
          "generalized_inverse",
          "get_imag",
          "get_real",
          "head",
          "hmm_hidden_state_prob",
          "hmm_marginal",
          "hypot",
          "identity_matrix",
          "inc_beta",
          "integrate_1d",
          "integrate_ode",
          "integrate_ode_adams",
          "integrate_ode_bdf",
          "integrate_ode_rk45",
          "int_step",
          "inv",
          "inv_cloglog",
          "inv_erfc",
          "inverse",
          "inverse_spd",
          "inv_fft",
          "inv_fft2",
          "inv_inc_beta",
          "inv_logit",
          "inv_Phi",
          "inv_sqrt",
          "inv_square",
          "is_inf",
          "is_nan",
          "lambert_w0",
          "lambert_wm1",
          "lbeta",
          "lchoose",
          "ldexp",
          "lgamma",
          "linspaced_array",
          "linspaced_int_array",
          "linspaced_row_vector",
          "linspaced_vector",
          "lmgamma",
          "lmultiply",
          "log",
          "log1m",
          "log1m_exp",
          "log1m_inv_logit",
          "log1p",
          "log1p_exp",
          "log_determinant",
          "log_diff_exp",
          "log_falling_factorial",
          "log_inv_logit",
          "log_inv_logit_diff",
          "logit",
          "log_mix",
          "log_modified_bessel_first_kind",
          "log_rising_factorial",
          "log_softmax",
          "log_sum_exp",
          "machine_precision",
          "map_rect",
          "matrix_exp",
          "matrix_exp_multiply",
          "matrix_power",
          "max",
          "mdivide_left_spd",
          "mdivide_left_tri_low",
          "mdivide_right_spd",
          "mdivide_right_tri_low",
          "mean",
          "min",
          "modified_bessel_first_kind",
          "modified_bessel_second_kind",
          "multiply_lower_tri_self_transpose",
          "negative_infinity",
          "norm",
          "norm1",
          "norm2",
          "not_a_number",
          "num_elements",
          "ode_adams",
          "ode_adams_tol",
          "ode_adjoint_tol_ctl",
          "ode_bdf",
          "ode_bdf_tol",
          "ode_ckrk",
          "ode_ckrk_tol",
          "ode_rk45",
          "ode_rk45_tol",
          "one_hot_array",
          "one_hot_int_array",
          "one_hot_row_vector",
          "one_hot_vector",
          "ones_array",
          "ones_int_array",
          "ones_row_vector",
          "ones_vector",
          "owens_t",
          "Phi",
          "Phi_approx",
          "polar",
          "positive_infinity",
          "pow",
          "print",
          "prod",
          "proj",
          "qr",
          "qr_Q",
          "qr_R",
          "qr_thin",
          "qr_thin_Q",
          "qr_thin_R",
          "quad_form",
          "quad_form_diag",
          "quad_form_sym",
          "quantile",
          "rank",
          "reduce_sum",
          "reject",
          "rep_array",
          "rep_matrix",
          "rep_row_vector",
          "rep_vector",
          "reverse",
          "rising_factorial",
          "round",
          "row",
          "rows",
          "rows_dot_product",
          "rows_dot_self",
          "scale_matrix_exp_multiply",
          "sd",
          "segment",
          "sin",
          "singular_values",
          "sinh",
          "size",
          "softmax",
          "sort_asc",
          "sort_desc",
          "sort_indices_asc",
          "sort_indices_desc",
          "sqrt",
          "square",
          "squared_distance",
          "step",
          "sub_col",
          "sub_row",
          "sum",
          "svd",
          "svd_U",
          "svd_V",
          "symmetrize_from_lower_tri",
          "tail",
          "tan",
          "tanh",
          "target",
          "tcrossprod",
          "tgamma",
          "to_array_1d",
          "to_array_2d",
          "to_complex",
          "to_int",
          "to_matrix",
          "to_row_vector",
          "to_vector",
          "trace",
          "trace_gen_quad_form",
          "trace_quad_form",
          "trigamma",
          "trunc",
          "uniform_simplex",
          "variance",
          "zeros_array",
          "zeros_int_array",
          "zeros_row_vector"
        ];
        const DISTRIBUTIONS = [
          "bernoulli",
          "bernoulli_logit",
          "bernoulli_logit_glm",
          "beta",
          "beta_binomial",
          "beta_proportion",
          "binomial",
          "binomial_logit",
          "categorical",
          "categorical_logit",
          "categorical_logit_glm",
          "cauchy",
          "chi_square",
          "dirichlet",
          "discrete_range",
          "double_exponential",
          "exp_mod_normal",
          "exponential",
          "frechet",
          "gamma",
          "gaussian_dlm_obs",
          "gumbel",
          "hmm_latent",
          "hypergeometric",
          "inv_chi_square",
          "inv_gamma",
          "inv_wishart",
          "inv_wishart_cholesky",
          "lkj_corr",
          "lkj_corr_cholesky",
          "logistic",
          "loglogistic",
          "lognormal",
          "multi_gp",
          "multi_gp_cholesky",
          "multinomial",
          "multinomial_logit",
          "multi_normal",
          "multi_normal_cholesky",
          "multi_normal_prec",
          "multi_student_cholesky_t",
          "multi_student_t",
          "multi_student_t_cholesky",
          "neg_binomial",
          "neg_binomial_2",
          "neg_binomial_2_log",
          "neg_binomial_2_log_glm",
          "normal",
          "normal_id_glm",
          "ordered_logistic",
          "ordered_logistic_glm",
          "ordered_probit",
          "pareto",
          "pareto_type_2",
          "poisson",
          "poisson_log",
          "poisson_log_glm",
          "rayleigh",
          "scaled_inv_chi_square",
          "skew_double_exponential",
          "skew_normal",
          "std_normal",
          "std_normal_log",
          "student_t",
          "uniform",
          "von_mises",
          "weibull",
          "wiener",
          "wishart",
          "wishart_cholesky"
        ];
        const BLOCK_COMMENT = hljs.COMMENT(
          /\/\*/,
          /\*\//,
          {
            relevance: 0,
            contains: [
              {
                scope: "doctag",
                match: /@(return|param)/
              }
            ]
          }
        );
        const INCLUDE = {
          scope: "meta",
          begin: /#include\b/,
          end: /$/,
          contains: [
            {
              match: /[a-z][a-z-._]+/,
              scope: "string"
            },
            hljs.C_LINE_COMMENT_MODE
          ]
        };
        const RANGE_CONSTRAINTS = [
          "lower",
          "upper",
          "offset",
          "multiplier"
        ];
        return {
          name: "Stan",
          aliases: ["stanfuncs"],
          keywords: {
            $pattern: hljs.IDENT_RE,
            title: BLOCKS,
            type: TYPES,
            keyword: STATEMENTS,
            built_in: FUNCTIONS
          },
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            INCLUDE,
            hljs.HASH_COMMENT_MODE,
            BLOCK_COMMENT,
            {
              scope: "built_in",
              match: /\s(pi|e|sqrt2|log2|log10)(?=\()/,
              relevance: 0
            },
            {
              match: regex.concat(/[<,]\s*/, regex.either(...RANGE_CONSTRAINTS), /\s*=/),
              keywords: RANGE_CONSTRAINTS
            },
            {
              scope: "keyword",
              match: /\btarget(?=\s*\+=)/
            },
            {
              // highlights the 'T' in T[,] for only Stan language distributrions
              match: [
                /~\s*/,
                regex.either(...DISTRIBUTIONS),
                /(?:\(\))/,
                /\s*T(?=\s*\[)/
              ],
              scope: {
                2: "built_in",
                4: "keyword"
              }
            },
            {
              // highlights distributions that end with special endings
              scope: "built_in",
              keywords: DISTRIBUTIONS,
              begin: regex.concat(/\w*/, regex.either(...DISTRIBUTIONS), /(_lpdf|_lupdf|_lpmf|_cdf|_lcdf|_lccdf|_qf)(?=\s*[\(.*\)])/)
            },
            {
              // highlights distributions after ~
              begin: [
                /~/,
                /\s*/,
                regex.concat(regex.either(...DISTRIBUTIONS), /(?=\s*[\(.*\)])/)
              ],
              scope: { 3: "built_in" }
            },
            {
              // highlights user defined distributions after ~
              begin: [
                /~/,
                /\s*\w+(?=\s*[\(.*\)])/,
                "(?!.*/\b(" + regex.either(...DISTRIBUTIONS) + ")\b)"
              ],
              scope: { 2: "title.function" }
            },
            {
              // highlights user defined distributions with special endings
              scope: "title.function",
              begin: /\w*(_lpdf|_lupdf|_lpmf|_cdf|_lcdf|_lccdf|_qf)(?=\s*[\(.*\)])/
            },
            {
              scope: "number",
              match: regex.concat(
                // Comes from @RunDevelopment accessed 11/29/2021 at
                // https://github.com/PrismJS/prism/blob/c53ad2e65b7193ab4f03a1797506a54bbb33d5a2/components/prism-stan.js#L56
                // start of big noncapture group which
                // 1. gets numbers that are by themselves
                // 2. numbers that are separated by _
                // 3. numbers that are separted by .
                /(?:\b\d+(?:_\d+)*(?:\.(?:\d+(?:_\d+)*)?)?|\B\.\d+(?:_\d+)*)/,
                // grabs scientific notation
                // grabs complex numbers with i
                /(?:[eE][+-]?\d+(?:_\d+)*)?i?(?!\w)/
              ),
              relevance: 0
            },
            {
              scope: "string",
              begin: /"/,
              end: /"/
            }
          ]
        };
      }
      module.exports = stan;
    }
  });

  // node_modules/highlight.js/lib/languages/stata.js
  var require_stata = __commonJS({
    "node_modules/highlight.js/lib/languages/stata.js"(exports, module) {
      function stata(hljs) {
        return {
          name: "Stata",
          aliases: [
            "do",
            "ado"
          ],
          case_insensitive: true,
          keywords: "if else in foreach for forv forva forval forvalu forvalue forvalues by bys bysort xi quietly qui capture about ac ac_7 acprplot acprplot_7 adjust ado adopath adoupdate alpha ameans an ano anov anova anova_estat anova_terms anovadef aorder ap app appe appen append arch arch_dr arch_estat arch_p archlm areg areg_p args arima arima_dr arima_estat arima_p as asmprobit asmprobit_estat asmprobit_lf asmprobit_mfx__dlg asmprobit_p ass asse asser assert avplot avplot_7 avplots avplots_7 bcskew0 bgodfrey bias binreg bip0_lf biplot bipp_lf bipr_lf bipr_p biprobit bitest bitesti bitowt blogit bmemsize boot bootsamp bootstrap bootstrap_8 boxco_l boxco_p boxcox boxcox_6 boxcox_p bprobit br break brier bro brow brows browse brr brrstat bs bs_7 bsampl_w bsample bsample_7 bsqreg bstat bstat_7 bstat_8 bstrap bstrap_7 bubble bubbleplot ca ca_estat ca_p cabiplot camat canon canon_8 canon_8_p canon_estat canon_p cap caprojection capt captu captur capture cat cc cchart cchart_7 cci cd censobs_table centile cf char chdir checkdlgfiles checkestimationsample checkhlpfiles checksum chelp ci cii cl class classutil clear cli clis clist clo clog clog_lf clog_p clogi clogi_sw clogit clogit_lf clogit_p clogitp clogl_sw cloglog clonevar clslistarray cluster cluster_measures cluster_stop cluster_tree cluster_tree_8 clustermat cmdlog cnr cnre cnreg cnreg_p cnreg_sw cnsreg codebook collaps4 collapse colormult_nb colormult_nw compare compress conf confi confir confirm conren cons const constr constra constrai constrain constraint continue contract copy copyright copysource cor corc corr corr2data corr_anti corr_kmo corr_smc corre correl correla correlat correlate corrgram cou coun count cox cox_p cox_sw coxbase coxhaz coxvar cprplot cprplot_7 crc cret cretu cretur creturn cross cs cscript cscript_log csi ct ct_is ctset ctst_5 ctst_st cttost cumsp cumsp_7 cumul cusum cusum_7 cutil d|0 datasig datasign datasigna datasignat datasignatu datasignatur datasignature datetof db dbeta de dec deco decod decode deff des desc descr descri describ describe destring dfbeta dfgls dfuller di di_g dir dirstats dis discard disp disp_res disp_s displ displa display distinct do doe doed doedi doedit dotplot dotplot_7 dprobit drawnorm drop ds ds_util dstdize duplicates durbina dwstat dydx e|0 ed edi edit egen eivreg emdef en enc enco encod encode eq erase ereg ereg_lf ereg_p ereg_sw ereghet ereghet_glf ereghet_glf_sh ereghet_gp ereghet_ilf ereghet_ilf_sh ereghet_ip eret eretu eretur ereturn err erro error esize est est_cfexist est_cfname est_clickable est_expand est_hold est_table est_unhold est_unholdok estat estat_default estat_summ estat_vce_only esti estimates etodow etof etomdy ex exi exit expand expandcl fac fact facto factor factor_estat factor_p factor_pca_rotated factor_rotate factormat fcast fcast_compute fcast_graph fdades fdadesc fdadescr fdadescri fdadescrib fdadescribe fdasav fdasave fdause fh_st file open file read file close file filefilter fillin find_hlp_file findfile findit findit_7 fit fl fli flis flist for5_0 forest forestplot form forma format fpredict frac_154 frac_adj frac_chk frac_cox frac_ddp frac_dis frac_dv frac_in frac_mun frac_pp frac_pq frac_pv frac_wgt frac_xo fracgen fracplot fracplot_7 fracpoly fracpred fron_ex fron_hn fron_p fron_tn fron_tn2 frontier ftodate ftoe ftomdy ftowdate funnel funnelplot g|0 gamhet_glf gamhet_gp gamhet_ilf gamhet_ip gamma gamma_d2 gamma_p gamma_sw gammahet gdi_hexagon gdi_spokes ge gen gene gener genera generat generate genrank genstd genvmean gettoken gl gladder gladder_7 glim_l01 glim_l02 glim_l03 glim_l04 glim_l05 glim_l06 glim_l07 glim_l08 glim_l09 glim_l10 glim_l11 glim_l12 glim_lf glim_mu glim_nw1 glim_nw2 glim_nw3 glim_p glim_v1 glim_v2 glim_v3 glim_v4 glim_v5 glim_v6 glim_v7 glm glm_6 glm_p glm_sw glmpred glo glob globa global glogit glogit_8 glogit_p gmeans gnbre_lf gnbreg gnbreg_5 gnbreg_p gomp_lf gompe_sw gomper_p gompertz gompertzhet gomphet_glf gomphet_glf_sh gomphet_gp gomphet_ilf gomphet_ilf_sh gomphet_ip gphdot gphpen gphprint gprefs gprobi_p gprobit gprobit_8 gr gr7 gr_copy gr_current gr_db gr_describe gr_dir gr_draw gr_draw_replay gr_drop gr_edit gr_editviewopts gr_example gr_example2 gr_export gr_print gr_qscheme gr_query gr_read gr_rename gr_replay gr_save gr_set gr_setscheme gr_table gr_undo gr_use graph graph7 grebar greigen greigen_7 greigen_8 grmeanby grmeanby_7 gs_fileinfo gs_filetype gs_graphinfo gs_stat gsort gwood h|0 hadimvo hareg hausman haver he heck_d2 heckma_p heckman heckp_lf heckpr_p heckprob hel help hereg hetpr_lf hetpr_p hetprob hettest hexdump hilite hist hist_7 histogram hlogit hlu hmeans hotel hotelling hprobit hreg hsearch icd9 icd9_ff icd9p iis impute imtest inbase include inf infi infil infile infix inp inpu input ins insheet insp inspe inspec inspect integ inten intreg intreg_7 intreg_p intrg2_ll intrg_ll intrg_ll2 ipolate iqreg ir irf irf_create irfm iri is_svy is_svysum isid istdize ivprob_1_lf ivprob_lf ivprobit ivprobit_p ivreg ivreg_footnote ivtob_1_lf ivtob_lf ivtobit ivtobit_p jackknife jacknife jknife jknife_6 jknife_8 jkstat joinby kalarma1 kap kap_3 kapmeier kappa kapwgt kdensity kdensity_7 keep ksm ksmirnov ktau kwallis l|0 la lab labbe labbeplot labe label labelbook ladder levels levelsof leverage lfit lfit_p li lincom line linktest lis list lloghet_glf lloghet_glf_sh lloghet_gp lloghet_ilf lloghet_ilf_sh lloghet_ip llogi_sw llogis_p llogist llogistic llogistichet lnorm_lf lnorm_sw lnorma_p lnormal lnormalhet lnormhet_glf lnormhet_glf_sh lnormhet_gp lnormhet_ilf lnormhet_ilf_sh lnormhet_ip lnskew0 loadingplot loc loca local log logi logis_lf logistic logistic_p logit logit_estat logit_p loglogs logrank loneway lookfor lookup lowess lowess_7 lpredict lrecomp lroc lroc_7 lrtest ls lsens lsens_7 lsens_x lstat ltable ltable_7 ltriang lv lvr2plot lvr2plot_7 m|0 ma mac macr macro makecns man manova manova_estat manova_p manovatest mantel mark markin markout marksample mat mat_capp mat_order mat_put_rr mat_rapp mata mata_clear mata_describe mata_drop mata_matdescribe mata_matsave mata_matuse mata_memory mata_mlib mata_mosave mata_rename mata_which matalabel matcproc matlist matname matr matri matrix matrix_input__dlg matstrik mcc mcci md0_ md1_ md1debug_ md2_ md2debug_ mds mds_estat mds_p mdsconfig mdslong mdsmat mdsshepard mdytoe mdytof me_derd mean means median memory memsize menl meqparse mer merg merge meta mfp mfx mhelp mhodds minbound mixed_ll mixed_ll_reparm mkassert mkdir mkmat mkspline ml ml_5 ml_adjs ml_bhhhs ml_c_d ml_check ml_clear ml_cnt ml_debug ml_defd ml_e0 ml_e0_bfgs ml_e0_cycle ml_e0_dfp ml_e0i ml_e1 ml_e1_bfgs ml_e1_bhhh ml_e1_cycle ml_e1_dfp ml_e2 ml_e2_cycle ml_ebfg0 ml_ebfr0 ml_ebfr1 ml_ebh0q ml_ebhh0 ml_ebhr0 ml_ebr0i ml_ecr0i ml_edfp0 ml_edfr0 ml_edfr1 ml_edr0i ml_eds ml_eer0i ml_egr0i ml_elf ml_elf_bfgs ml_elf_bhhh ml_elf_cycle ml_elf_dfp ml_elfi ml_elfs ml_enr0i ml_enrr0 ml_erdu0 ml_erdu0_bfgs ml_erdu0_bhhh ml_erdu0_bhhhq ml_erdu0_cycle ml_erdu0_dfp ml_erdu0_nrbfgs ml_exde ml_footnote ml_geqnr ml_grad0 ml_graph ml_hbhhh ml_hd0 ml_hold ml_init ml_inv ml_log ml_max ml_mlout ml_mlout_8 ml_model ml_nb0 ml_opt ml_p ml_plot ml_query ml_rdgrd ml_repor ml_s_e ml_score ml_searc ml_technique ml_unhold mleval mlf_ mlmatbysum mlmatsum mlog mlogi mlogit mlogit_footnote mlogit_p mlopts mlsum mlvecsum mnl0_ mor more mov move mprobit mprobit_lf mprobit_p mrdu0_ mrdu1_ mvdecode mvencode mvreg mvreg_estat n|0 nbreg nbreg_al nbreg_lf nbreg_p nbreg_sw nestreg net newey newey_7 newey_p news nl nl_7 nl_9 nl_9_p nl_p nl_p_7 nlcom nlcom_p nlexp2 nlexp2_7 nlexp2a nlexp2a_7 nlexp3 nlexp3_7 nlgom3 nlgom3_7 nlgom4 nlgom4_7 nlinit nllog3 nllog3_7 nllog4 nllog4_7 nlog_rd nlogit nlogit_p nlogitgen nlogittree nlpred no nobreak noi nois noisi noisil noisily note notes notes_dlg nptrend numlabel numlist odbc old_ver olo olog ologi ologi_sw ologit ologit_p ologitp on one onew onewa oneway op_colnm op_comp op_diff op_inv op_str opr opro oprob oprob_sw oprobi oprobi_p oprobit oprobitp opts_exclusive order orthog orthpoly ou out outf outfi outfil outfile outs outsh outshe outshee outsheet ovtest pac pac_7 palette parse parse_dissim pause pca pca_8 pca_display pca_estat pca_p pca_rotate pcamat pchart pchart_7 pchi pchi_7 pcorr pctile pentium pergram pergram_7 permute permute_8 personal peto_st pkcollapse pkcross pkequiv pkexamine pkexamine_7 pkshape pksumm pksumm_7 pl plo plot plugin pnorm pnorm_7 poisgof poiss_lf poiss_sw poisso_p poisson poisson_estat post postclose postfile postutil pperron pr prais prais_e prais_e2 prais_p predict predictnl preserve print pro prob probi probit probit_estat probit_p proc_time procoverlay procrustes procrustes_estat procrustes_p profiler prog progr progra program prop proportion prtest prtesti pwcorr pwd q\\s qby qbys qchi qchi_7 qladder qladder_7 qnorm qnorm_7 qqplot qqplot_7 qreg qreg_c qreg_p qreg_sw qu quadchk quantile quantile_7 que quer query range ranksum ratio rchart rchart_7 rcof recast reclink recode reg reg3 reg3_p regdw regr regre regre_p2 regres regres_p regress regress_estat regriv_p remap ren rena renam rename renpfix repeat replace report reshape restore ret retu retur return rm rmdir robvar roccomp roccomp_7 roccomp_8 rocf_lf rocfit rocfit_8 rocgold rocplot rocplot_7 roctab roctab_7 rolling rologit rologit_p rot rota rotat rotate rotatemat rreg rreg_p ru run runtest rvfplot rvfplot_7 rvpplot rvpplot_7 sa safesum sample sampsi sav save savedresults saveold sc sca scal scala scalar scatter scm_mine sco scob_lf scob_p scobi_sw scobit scor score scoreplot scoreplot_help scree screeplot screeplot_help sdtest sdtesti se search separate seperate serrbar serrbar_7 serset set set_defaults sfrancia sh she shel shell shewhart shewhart_7 signestimationsample signrank signtest simul simul_7 simulate simulate_8 sktest sleep slogit slogit_d2 slogit_p smooth snapspan so sor sort spearman spikeplot spikeplot_7 spikeplt spline_x split sqreg sqreg_p sret sretu sretur sreturn ssc st st_ct st_hc st_hcd st_hcd_sh st_is st_issys st_note st_promo st_set st_show st_smpl st_subid stack statsby statsby_8 stbase stci stci_7 stcox stcox_estat stcox_fr stcox_fr_ll stcox_p stcox_sw stcoxkm stcoxkm_7 stcstat stcurv stcurve stcurve_7 stdes stem stepwise stereg stfill stgen stir stjoin stmc stmh stphplot stphplot_7 stphtest stphtest_7 stptime strate strate_7 streg streg_sw streset sts sts_7 stset stsplit stsum sttocc sttoct stvary stweib su suest suest_8 sum summ summa summar summari summariz summarize sunflower sureg survcurv survsum svar svar_p svmat svy svy_disp svy_dreg svy_est svy_est_7 svy_estat svy_get svy_gnbreg_p svy_head svy_header svy_heckman_p svy_heckprob_p svy_intreg_p svy_ivreg_p svy_logistic_p svy_logit_p svy_mlogit_p svy_nbreg_p svy_ologit_p svy_oprobit_p svy_poisson_p svy_probit_p svy_regress_p svy_sub svy_sub_7 svy_x svy_x_7 svy_x_p svydes svydes_8 svygen svygnbreg svyheckman svyheckprob svyintreg svyintreg_7 svyintrg svyivreg svylc svylog_p svylogit svymarkout svymarkout_8 svymean svymlog svymlogit svynbreg svyolog svyologit svyoprob svyoprobit svyopts svypois svypois_7 svypoisson svyprobit svyprobt svyprop svyprop_7 svyratio svyreg svyreg_p svyregress svyset svyset_7 svyset_8 svytab svytab_7 svytest svytotal sw sw_8 swcnreg swcox swereg swilk swlogis swlogit swologit swoprbt swpois swprobit swqreg swtobit swweib symmetry symmi symplot symplot_7 syntax sysdescribe sysdir sysuse szroeter ta tab tab1 tab2 tab_or tabd tabdi tabdis tabdisp tabi table tabodds tabodds_7 tabstat tabu tabul tabula tabulat tabulate te tempfile tempname tempvar tes test testnl testparm teststd tetrachoric time_it timer tis tob tobi tobit tobit_p tobit_sw token tokeni tokeniz tokenize tostring total translate translator transmap treat_ll treatr_p treatreg trim trimfill trnb_cons trnb_mean trpoiss_d2 trunc_ll truncr_p truncreg tsappend tset tsfill tsline tsline_ex tsreport tsrevar tsrline tsset tssmooth tsunab ttest ttesti tut_chk tut_wait tutorial tw tware_st two twoway twoway__fpfit_serset twoway__function_gen twoway__histogram_gen twoway__ipoint_serset twoway__ipoints_serset twoway__kdensity_gen twoway__lfit_serset twoway__normgen_gen twoway__pci_serset twoway__qfit_serset twoway__scatteri_serset twoway__sunflower_gen twoway_ksm_serset ty typ type typeof u|0 unab unabbrev unabcmd update us use uselabel var var_mkcompanion var_p varbasic varfcast vargranger varirf varirf_add varirf_cgraph varirf_create varirf_ctable varirf_describe varirf_dir varirf_drop varirf_erase varirf_graph varirf_ograph varirf_rename varirf_set varirf_table varlist varlmar varnorm varsoc varstable varstable_w varstable_w2 varwle vce vec vec_fevd vec_mkphi vec_p vec_p_w vecirf_create veclmar veclmar_w vecnorm vecnorm_w vecrank vecstable verinst vers versi versio version view viewsource vif vwls wdatetof webdescribe webseek webuse weib1_lf weib2_lf weib_lf weib_lf0 weibhet_glf weibhet_glf_sh weibhet_glfa weibhet_glfa_sh weibhet_gp weibhet_ilf weibhet_ilf_sh weibhet_ilfa weibhet_ilfa_sh weibhet_ip weibu_sw weibul_p weibull weibull_c weibull_s weibullhet wh whelp whi which whil while wilc_st wilcoxon win wind windo window winexec wntestb wntestb_7 wntestq xchart xchart_7 xcorr xcorr_7 xi xi_6 xmlsav xmlsave xmluse xpose xsh xshe xshel xshell xt_iis xt_tis xtab_p xtabond xtbin_p xtclog xtcloglog xtcloglog_8 xtcloglog_d2 xtcloglog_pa_p xtcloglog_re_p xtcnt_p xtcorr xtdata xtdes xtfront_p xtfrontier xtgee xtgee_elink xtgee_estat xtgee_makeivar xtgee_p xtgee_plink xtgls xtgls_p xthaus xthausman xtht_p xthtaylor xtile xtint_p xtintreg xtintreg_8 xtintreg_d2 xtintreg_p xtivp_1 xtivp_2 xtivreg xtline xtline_ex xtlogit xtlogit_8 xtlogit_d2 xtlogit_fe_p xtlogit_pa_p xtlogit_re_p xtmixed xtmixed_estat xtmixed_p xtnb_fe xtnb_lf xtnbreg xtnbreg_pa_p xtnbreg_refe_p xtpcse xtpcse_p xtpois xtpoisson xtpoisson_d2 xtpoisson_pa_p xtpoisson_refe_p xtpred xtprobit xtprobit_8 xtprobit_d2 xtprobit_re_p xtps_fe xtps_lf xtps_ren xtps_ren_8 xtrar_p xtrc xtrc_p xtrchh xtrefe_p xtreg xtreg_be xtreg_fe xtreg_ml xtreg_pa_p xtreg_re xtregar xtrere_p xtset xtsf_ll xtsf_llti xtsum xttab xttest0 xttobit xttobit_8 xttobit_p xttrans yx yxview__barlike_draw yxview_area_draw yxview_bar_draw yxview_dot_draw yxview_dropline_draw yxview_function_draw yxview_iarrow_draw yxview_ilabels_draw yxview_normal_draw yxview_pcarrow_draw yxview_pcbarrow_draw yxview_pccapsym_draw yxview_pcscatter_draw yxview_pcspike_draw yxview_rarea_draw yxview_rbar_draw yxview_rbarm_draw yxview_rcap_draw yxview_rcapsym_draw yxview_rconnected_draw yxview_rline_draw yxview_rscatter_draw yxview_rspike_draw yxview_spike_draw yxview_sunflower_draw zap_s zinb zinb_llf zinb_plf zip zip_llf zip_p zip_plf zt_ct_5 zt_hc_5 zt_hcd_5 zt_is_5 zt_iss_5 zt_sho_5 zt_smp_5 ztbase_5 ztcox_5 ztdes_5 ztereg_5 ztfill_5 ztgen_5 ztir_5 ztjoin_5 ztnb ztnb_p ztp ztp_p zts_5 ztset_5 ztspli_5 ztsum_5 zttoct_5 ztvary_5 ztweib_5",
          contains: [
            {
              className: "symbol",
              begin: /`[a-zA-Z0-9_]+'/
            },
            {
              className: "variable",
              begin: /\$\{?[a-zA-Z0-9_]+\}?/,
              relevance: 0
            },
            {
              className: "string",
              variants: [
                { begin: '`"[^\r\n]*?"\'' },
                { begin: '"[^\r\n"]*"' }
              ]
            },
            {
              className: "built_in",
              variants: [{ begin: "\\b(abs|acos|asin|atan|atan2|atanh|ceil|cloglog|comb|cos|digamma|exp|floor|invcloglog|invlogit|ln|lnfact|lnfactorial|lngamma|log|log10|max|min|mod|reldif|round|sign|sin|sqrt|sum|tan|tanh|trigamma|trunc|betaden|Binomial|binorm|binormal|chi2|chi2tail|dgammapda|dgammapdada|dgammapdadx|dgammapdx|dgammapdxdx|F|Fden|Ftail|gammaden|gammap|ibeta|invbinomial|invchi2|invchi2tail|invF|invFtail|invgammap|invibeta|invnchi2|invnFtail|invnibeta|invnorm|invnormal|invttail|nbetaden|nchi2|nFden|nFtail|nibeta|norm|normal|normalden|normd|npnchi2|tden|ttail|uniform|abbrev|char|index|indexnot|length|lower|ltrim|match|plural|proper|real|regexm|regexr|regexs|reverse|rtrim|string|strlen|strlower|strltrim|strmatch|strofreal|strpos|strproper|strreverse|strrtrim|strtrim|strupper|subinstr|subinword|substr|trim|upper|word|wordcount|_caller|autocode|byteorder|chop|clip|cond|e|epsdouble|epsfloat|group|inlist|inrange|irecode|matrix|maxbyte|maxdouble|maxfloat|maxint|maxlong|mi|minbyte|mindouble|minfloat|minint|minlong|missing|r|recode|replay|return|s|scalar|d|date|day|dow|doy|halfyear|mdy|month|quarter|week|year|d|daily|dofd|dofh|dofm|dofq|dofw|dofy|h|halfyearly|hofd|m|mofd|monthly|q|qofd|quarterly|tin|twithin|w|weekly|wofd|y|yearly|yh|ym|yofd|yq|yw|cholesky|colnumb|colsof|corr|det|diag|diag0cnt|el|get|hadamard|I|inv|invsym|issym|issymmetric|J|matmissing|matuniform|mreldif|nullmat|rownumb|rowsof|sweep|syminv|trace|vec|vecdiag)(?=\\()" }]
            },
            hljs.COMMENT("^[ 	]*\\*.*$", false),
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE
          ]
        };
      }
      module.exports = stata;
    }
  });

  // node_modules/highlight.js/lib/languages/step21.js
  var require_step21 = __commonJS({
    "node_modules/highlight.js/lib/languages/step21.js"(exports, module) {
      function step21(hljs) {
        const STEP21_IDENT_RE = "[A-Z_][A-Z0-9_.]*";
        const STEP21_KEYWORDS = {
          $pattern: STEP21_IDENT_RE,
          keyword: [
            "HEADER",
            "ENDSEC",
            "DATA"
          ]
        };
        const STEP21_START = {
          className: "meta",
          begin: "ISO-10303-21;",
          relevance: 10
        };
        const STEP21_CLOSE = {
          className: "meta",
          begin: "END-ISO-10303-21;",
          relevance: 10
        };
        return {
          name: "STEP Part 21",
          aliases: [
            "p21",
            "step",
            "stp"
          ],
          case_insensitive: true,
          // STEP 21 is case insensitive in theory, in practice all non-comments are capitalized.
          keywords: STEP21_KEYWORDS,
          contains: [
            STEP21_START,
            STEP21_CLOSE,
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.COMMENT("/\\*\\*!", "\\*/"),
            hljs.C_NUMBER_MODE,
            hljs.inherit(hljs.APOS_STRING_MODE, { illegal: null }),
            hljs.inherit(hljs.QUOTE_STRING_MODE, { illegal: null }),
            {
              className: "string",
              begin: "'",
              end: "'"
            },
            {
              className: "symbol",
              variants: [
                {
                  begin: "#",
                  end: "\\d+",
                  illegal: "\\W"
                }
              ]
            }
          ]
        };
      }
      module.exports = step21;
    }
  });

  // node_modules/highlight.js/lib/languages/stylus.js
  var require_stylus = __commonJS({
    "node_modules/highlight.js/lib/languages/stylus.js"(exports, module) {
      var MODES = (hljs) => {
        return {
          IMPORTANT: {
            scope: "meta",
            begin: "!important"
          },
          BLOCK_COMMENT: hljs.C_BLOCK_COMMENT_MODE,
          HEXCOLOR: {
            scope: "number",
            begin: /#(([0-9a-fA-F]{3,4})|(([0-9a-fA-F]{2}){3,4}))\b/
          },
          FUNCTION_DISPATCH: {
            className: "built_in",
            begin: /[\w-]+(?=\()/
          },
          ATTRIBUTE_SELECTOR_MODE: {
            scope: "selector-attr",
            begin: /\[/,
            end: /\]/,
            illegal: "$",
            contains: [
              hljs.APOS_STRING_MODE,
              hljs.QUOTE_STRING_MODE
            ]
          },
          CSS_NUMBER_MODE: {
            scope: "number",
            begin: hljs.NUMBER_RE + "(%|em|ex|ch|rem|vw|vh|vmin|vmax|cm|mm|in|pt|pc|px|deg|grad|rad|turn|s|ms|Hz|kHz|dpi|dpcm|dppx)?",
            relevance: 0
          },
          CSS_VARIABLE: {
            className: "attr",
            begin: /--[A-Za-z_][A-Za-z0-9_-]*/
          }
        };
      };
      var HTML_TAGS = [
        "a",
        "abbr",
        "address",
        "article",
        "aside",
        "audio",
        "b",
        "blockquote",
        "body",
        "button",
        "canvas",
        "caption",
        "cite",
        "code",
        "dd",
        "del",
        "details",
        "dfn",
        "div",
        "dl",
        "dt",
        "em",
        "fieldset",
        "figcaption",
        "figure",
        "footer",
        "form",
        "h1",
        "h2",
        "h3",
        "h4",
        "h5",
        "h6",
        "header",
        "hgroup",
        "html",
        "i",
        "iframe",
        "img",
        "input",
        "ins",
        "kbd",
        "label",
        "legend",
        "li",
        "main",
        "mark",
        "menu",
        "nav",
        "object",
        "ol",
        "optgroup",
        "option",
        "p",
        "picture",
        "q",
        "quote",
        "samp",
        "section",
        "select",
        "source",
        "span",
        "strong",
        "summary",
        "sup",
        "table",
        "tbody",
        "td",
        "textarea",
        "tfoot",
        "th",
        "thead",
        "time",
        "tr",
        "ul",
        "var",
        "video"
      ];
      var SVG_TAGS = [
        "defs",
        "g",
        "marker",
        "mask",
        "pattern",
        "svg",
        "switch",
        "symbol",
        "feBlend",
        "feColorMatrix",
        "feComponentTransfer",
        "feComposite",
        "feConvolveMatrix",
        "feDiffuseLighting",
        "feDisplacementMap",
        "feFlood",
        "feGaussianBlur",
        "feImage",
        "feMerge",
        "feMorphology",
        "feOffset",
        "feSpecularLighting",
        "feTile",
        "feTurbulence",
        "linearGradient",
        "radialGradient",
        "stop",
        "circle",
        "ellipse",
        "image",
        "line",
        "path",
        "polygon",
        "polyline",
        "rect",
        "text",
        "use",
        "textPath",
        "tspan",
        "foreignObject",
        "clipPath"
      ];
      var TAGS = [
        ...HTML_TAGS,
        ...SVG_TAGS
      ];
      var MEDIA_FEATURES = [
        "any-hover",
        "any-pointer",
        "aspect-ratio",
        "color",
        "color-gamut",
        "color-index",
        "device-aspect-ratio",
        "device-height",
        "device-width",
        "display-mode",
        "forced-colors",
        "grid",
        "height",
        "hover",
        "inverted-colors",
        "monochrome",
        "orientation",
        "overflow-block",
        "overflow-inline",
        "pointer",
        "prefers-color-scheme",
        "prefers-contrast",
        "prefers-reduced-motion",
        "prefers-reduced-transparency",
        "resolution",
        "scan",
        "scripting",
        "update",
        "width",
        // TODO: find a better solution?
        "min-width",
        "max-width",
        "min-height",
        "max-height"
      ].sort().reverse();
      var PSEUDO_CLASSES = [
        "active",
        "any-link",
        "blank",
        "checked",
        "current",
        "default",
        "defined",
        "dir",
        // dir()
        "disabled",
        "drop",
        "empty",
        "enabled",
        "first",
        "first-child",
        "first-of-type",
        "fullscreen",
        "future",
        "focus",
        "focus-visible",
        "focus-within",
        "has",
        // has()
        "host",
        // host or host()
        "host-context",
        // host-context()
        "hover",
        "indeterminate",
        "in-range",
        "invalid",
        "is",
        // is()
        "lang",
        // lang()
        "last-child",
        "last-of-type",
        "left",
        "link",
        "local-link",
        "not",
        // not()
        "nth-child",
        // nth-child()
        "nth-col",
        // nth-col()
        "nth-last-child",
        // nth-last-child()
        "nth-last-col",
        // nth-last-col()
        "nth-last-of-type",
        //nth-last-of-type()
        "nth-of-type",
        //nth-of-type()
        "only-child",
        "only-of-type",
        "optional",
        "out-of-range",
        "past",
        "placeholder-shown",
        "read-only",
        "read-write",
        "required",
        "right",
        "root",
        "scope",
        "target",
        "target-within",
        "user-invalid",
        "valid",
        "visited",
        "where"
        // where()
      ].sort().reverse();
      var PSEUDO_ELEMENTS = [
        "after",
        "backdrop",
        "before",
        "cue",
        "cue-region",
        "first-letter",
        "first-line",
        "grammar-error",
        "marker",
        "part",
        "placeholder",
        "selection",
        "slotted",
        "spelling-error"
      ].sort().reverse();
      var ATTRIBUTES = [
        "accent-color",
        "align-content",
        "align-items",
        "align-self",
        "alignment-baseline",
        "all",
        "anchor-name",
        "animation",
        "animation-composition",
        "animation-delay",
        "animation-direction",
        "animation-duration",
        "animation-fill-mode",
        "animation-iteration-count",
        "animation-name",
        "animation-play-state",
        "animation-range",
        "animation-range-end",
        "animation-range-start",
        "animation-timeline",
        "animation-timing-function",
        "appearance",
        "aspect-ratio",
        "backdrop-filter",
        "backface-visibility",
        "background",
        "background-attachment",
        "background-blend-mode",
        "background-clip",
        "background-color",
        "background-image",
        "background-origin",
        "background-position",
        "background-position-x",
        "background-position-y",
        "background-repeat",
        "background-size",
        "baseline-shift",
        "block-size",
        "border",
        "border-block",
        "border-block-color",
        "border-block-end",
        "border-block-end-color",
        "border-block-end-style",
        "border-block-end-width",
        "border-block-start",
        "border-block-start-color",
        "border-block-start-style",
        "border-block-start-width",
        "border-block-style",
        "border-block-width",
        "border-bottom",
        "border-bottom-color",
        "border-bottom-left-radius",
        "border-bottom-right-radius",
        "border-bottom-style",
        "border-bottom-width",
        "border-collapse",
        "border-color",
        "border-end-end-radius",
        "border-end-start-radius",
        "border-image",
        "border-image-outset",
        "border-image-repeat",
        "border-image-slice",
        "border-image-source",
        "border-image-width",
        "border-inline",
        "border-inline-color",
        "border-inline-end",
        "border-inline-end-color",
        "border-inline-end-style",
        "border-inline-end-width",
        "border-inline-start",
        "border-inline-start-color",
        "border-inline-start-style",
        "border-inline-start-width",
        "border-inline-style",
        "border-inline-width",
        "border-left",
        "border-left-color",
        "border-left-style",
        "border-left-width",
        "border-radius",
        "border-right",
        "border-right-color",
        "border-right-style",
        "border-right-width",
        "border-spacing",
        "border-start-end-radius",
        "border-start-start-radius",
        "border-style",
        "border-top",
        "border-top-color",
        "border-top-left-radius",
        "border-top-right-radius",
        "border-top-style",
        "border-top-width",
        "border-width",
        "bottom",
        "box-align",
        "box-decoration-break",
        "box-direction",
        "box-flex",
        "box-flex-group",
        "box-lines",
        "box-ordinal-group",
        "box-orient",
        "box-pack",
        "box-shadow",
        "box-sizing",
        "break-after",
        "break-before",
        "break-inside",
        "caption-side",
        "caret-color",
        "clear",
        "clip",
        "clip-path",
        "clip-rule",
        "color",
        "color-interpolation",
        "color-interpolation-filters",
        "color-profile",
        "color-rendering",
        "color-scheme",
        "column-count",
        "column-fill",
        "column-gap",
        "column-rule",
        "column-rule-color",
        "column-rule-style",
        "column-rule-width",
        "column-span",
        "column-width",
        "columns",
        "contain",
        "contain-intrinsic-block-size",
        "contain-intrinsic-height",
        "contain-intrinsic-inline-size",
        "contain-intrinsic-size",
        "contain-intrinsic-width",
        "container",
        "container-name",
        "container-type",
        "content",
        "content-visibility",
        "counter-increment",
        "counter-reset",
        "counter-set",
        "cue",
        "cue-after",
        "cue-before",
        "cursor",
        "cx",
        "cy",
        "direction",
        "display",
        "dominant-baseline",
        "empty-cells",
        "enable-background",
        "field-sizing",
        "fill",
        "fill-opacity",
        "fill-rule",
        "filter",
        "flex",
        "flex-basis",
        "flex-direction",
        "flex-flow",
        "flex-grow",
        "flex-shrink",
        "flex-wrap",
        "float",
        "flood-color",
        "flood-opacity",
        "flow",
        "font",
        "font-display",
        "font-family",
        "font-feature-settings",
        "font-kerning",
        "font-language-override",
        "font-optical-sizing",
        "font-palette",
        "font-size",
        "font-size-adjust",
        "font-smooth",
        "font-smoothing",
        "font-stretch",
        "font-style",
        "font-synthesis",
        "font-synthesis-position",
        "font-synthesis-small-caps",
        "font-synthesis-style",
        "font-synthesis-weight",
        "font-variant",
        "font-variant-alternates",
        "font-variant-caps",
        "font-variant-east-asian",
        "font-variant-emoji",
        "font-variant-ligatures",
        "font-variant-numeric",
        "font-variant-position",
        "font-variation-settings",
        "font-weight",
        "forced-color-adjust",
        "gap",
        "glyph-orientation-horizontal",
        "glyph-orientation-vertical",
        "grid",
        "grid-area",
        "grid-auto-columns",
        "grid-auto-flow",
        "grid-auto-rows",
        "grid-column",
        "grid-column-end",
        "grid-column-start",
        "grid-gap",
        "grid-row",
        "grid-row-end",
        "grid-row-start",
        "grid-template",
        "grid-template-areas",
        "grid-template-columns",
        "grid-template-rows",
        "hanging-punctuation",
        "height",
        "hyphenate-character",
        "hyphenate-limit-chars",
        "hyphens",
        "icon",
        "image-orientation",
        "image-rendering",
        "image-resolution",
        "ime-mode",
        "initial-letter",
        "initial-letter-align",
        "inline-size",
        "inset",
        "inset-area",
        "inset-block",
        "inset-block-end",
        "inset-block-start",
        "inset-inline",
        "inset-inline-end",
        "inset-inline-start",
        "isolation",
        "justify-content",
        "justify-items",
        "justify-self",
        "kerning",
        "left",
        "letter-spacing",
        "lighting-color",
        "line-break",
        "line-height",
        "line-height-step",
        "list-style",
        "list-style-image",
        "list-style-position",
        "list-style-type",
        "margin",
        "margin-block",
        "margin-block-end",
        "margin-block-start",
        "margin-bottom",
        "margin-inline",
        "margin-inline-end",
        "margin-inline-start",
        "margin-left",
        "margin-right",
        "margin-top",
        "margin-trim",
        "marker",
        "marker-end",
        "marker-mid",
        "marker-start",
        "marks",
        "mask",
        "mask-border",
        "mask-border-mode",
        "mask-border-outset",
        "mask-border-repeat",
        "mask-border-slice",
        "mask-border-source",
        "mask-border-width",
        "mask-clip",
        "mask-composite",
        "mask-image",
        "mask-mode",
        "mask-origin",
        "mask-position",
        "mask-repeat",
        "mask-size",
        "mask-type",
        "masonry-auto-flow",
        "math-depth",
        "math-shift",
        "math-style",
        "max-block-size",
        "max-height",
        "max-inline-size",
        "max-width",
        "min-block-size",
        "min-height",
        "min-inline-size",
        "min-width",
        "mix-blend-mode",
        "nav-down",
        "nav-index",
        "nav-left",
        "nav-right",
        "nav-up",
        "none",
        "normal",
        "object-fit",
        "object-position",
        "offset",
        "offset-anchor",
        "offset-distance",
        "offset-path",
        "offset-position",
        "offset-rotate",
        "opacity",
        "order",
        "orphans",
        "outline",
        "outline-color",
        "outline-offset",
        "outline-style",
        "outline-width",
        "overflow",
        "overflow-anchor",
        "overflow-block",
        "overflow-clip-margin",
        "overflow-inline",
        "overflow-wrap",
        "overflow-x",
        "overflow-y",
        "overlay",
        "overscroll-behavior",
        "overscroll-behavior-block",
        "overscroll-behavior-inline",
        "overscroll-behavior-x",
        "overscroll-behavior-y",
        "padding",
        "padding-block",
        "padding-block-end",
        "padding-block-start",
        "padding-bottom",
        "padding-inline",
        "padding-inline-end",
        "padding-inline-start",
        "padding-left",
        "padding-right",
        "padding-top",
        "page",
        "page-break-after",
        "page-break-before",
        "page-break-inside",
        "paint-order",
        "pause",
        "pause-after",
        "pause-before",
        "perspective",
        "perspective-origin",
        "place-content",
        "place-items",
        "place-self",
        "pointer-events",
        "position",
        "position-anchor",
        "position-visibility",
        "print-color-adjust",
        "quotes",
        "r",
        "resize",
        "rest",
        "rest-after",
        "rest-before",
        "right",
        "rotate",
        "row-gap",
        "ruby-align",
        "ruby-position",
        "scale",
        "scroll-behavior",
        "scroll-margin",
        "scroll-margin-block",
        "scroll-margin-block-end",
        "scroll-margin-block-start",
        "scroll-margin-bottom",
        "scroll-margin-inline",
        "scroll-margin-inline-end",
        "scroll-margin-inline-start",
        "scroll-margin-left",
        "scroll-margin-right",
        "scroll-margin-top",
        "scroll-padding",
        "scroll-padding-block",
        "scroll-padding-block-end",
        "scroll-padding-block-start",
        "scroll-padding-bottom",
        "scroll-padding-inline",
        "scroll-padding-inline-end",
        "scroll-padding-inline-start",
        "scroll-padding-left",
        "scroll-padding-right",
        "scroll-padding-top",
        "scroll-snap-align",
        "scroll-snap-stop",
        "scroll-snap-type",
        "scroll-timeline",
        "scroll-timeline-axis",
        "scroll-timeline-name",
        "scrollbar-color",
        "scrollbar-gutter",
        "scrollbar-width",
        "shape-image-threshold",
        "shape-margin",
        "shape-outside",
        "shape-rendering",
        "speak",
        "speak-as",
        "src",
        // @font-face
        "stop-color",
        "stop-opacity",
        "stroke",
        "stroke-dasharray",
        "stroke-dashoffset",
        "stroke-linecap",
        "stroke-linejoin",
        "stroke-miterlimit",
        "stroke-opacity",
        "stroke-width",
        "tab-size",
        "table-layout",
        "text-align",
        "text-align-all",
        "text-align-last",
        "text-anchor",
        "text-combine-upright",
        "text-decoration",
        "text-decoration-color",
        "text-decoration-line",
        "text-decoration-skip",
        "text-decoration-skip-ink",
        "text-decoration-style",
        "text-decoration-thickness",
        "text-emphasis",
        "text-emphasis-color",
        "text-emphasis-position",
        "text-emphasis-style",
        "text-indent",
        "text-justify",
        "text-orientation",
        "text-overflow",
        "text-rendering",
        "text-shadow",
        "text-size-adjust",
        "text-transform",
        "text-underline-offset",
        "text-underline-position",
        "text-wrap",
        "text-wrap-mode",
        "text-wrap-style",
        "timeline-scope",
        "top",
        "touch-action",
        "transform",
        "transform-box",
        "transform-origin",
        "transform-style",
        "transition",
        "transition-behavior",
        "transition-delay",
        "transition-duration",
        "transition-property",
        "transition-timing-function",
        "translate",
        "unicode-bidi",
        "user-modify",
        "user-select",
        "vector-effect",
        "vertical-align",
        "view-timeline",
        "view-timeline-axis",
        "view-timeline-inset",
        "view-timeline-name",
        "view-transition-name",
        "visibility",
        "voice-balance",
        "voice-duration",
        "voice-family",
        "voice-pitch",
        "voice-range",
        "voice-rate",
        "voice-stress",
        "voice-volume",
        "white-space",
        "white-space-collapse",
        "widows",
        "width",
        "will-change",
        "word-break",
        "word-spacing",
        "word-wrap",
        "writing-mode",
        "x",
        "y",
        "z-index",
        "zoom"
      ].sort().reverse();
      function stylus(hljs) {
        const modes = MODES(hljs);
        const AT_MODIFIERS = "and or not only";
        const VARIABLE = {
          className: "variable",
          begin: "\\$" + hljs.IDENT_RE
        };
        const AT_KEYWORDS = [
          "charset",
          "css",
          "debug",
          "extend",
          "font-face",
          "for",
          "import",
          "include",
          "keyframes",
          "media",
          "mixin",
          "page",
          "warn",
          "while"
        ];
        const LOOKAHEAD_TAG_END = "(?=[.\\s\\n[:,(])";
        const ILLEGAL = [
          "\\?",
          "(\\bReturn\\b)",
          // monkey
          "(\\bEnd\\b)",
          // monkey
          "(\\bend\\b)",
          // vbscript
          "(\\bdef\\b)",
          // gradle
          ";",
          // a whole lot of languages
          "#\\s",
          // markdown
          "\\*\\s",
          // markdown
          "===\\s",
          // markdown
          "\\|",
          "%"
          // prolog
        ];
        return {
          name: "Stylus",
          aliases: ["styl"],
          case_insensitive: false,
          keywords: "if else for in",
          illegal: "(" + ILLEGAL.join("|") + ")",
          contains: [
            // strings
            hljs.QUOTE_STRING_MODE,
            hljs.APOS_STRING_MODE,
            // comments
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            // hex colors
            modes.HEXCOLOR,
            // class tag
            {
              begin: "\\.[a-zA-Z][a-zA-Z0-9_-]*" + LOOKAHEAD_TAG_END,
              className: "selector-class"
            },
            // id tag
            {
              begin: "#[a-zA-Z][a-zA-Z0-9_-]*" + LOOKAHEAD_TAG_END,
              className: "selector-id"
            },
            // tags
            {
              begin: "\\b(" + TAGS.join("|") + ")" + LOOKAHEAD_TAG_END,
              className: "selector-tag"
            },
            // psuedo selectors
            {
              className: "selector-pseudo",
              begin: "&?:(" + PSEUDO_CLASSES.join("|") + ")" + LOOKAHEAD_TAG_END
            },
            {
              className: "selector-pseudo",
              begin: "&?:(:)?(" + PSEUDO_ELEMENTS.join("|") + ")" + LOOKAHEAD_TAG_END
            },
            modes.ATTRIBUTE_SELECTOR_MODE,
            {
              className: "keyword",
              begin: /@media/,
              starts: {
                end: /[{;}]/,
                keywords: {
                  $pattern: /[a-z-]+/,
                  keyword: AT_MODIFIERS,
                  attribute: MEDIA_FEATURES.join(" ")
                },
                contains: [modes.CSS_NUMBER_MODE]
              }
            },
            // @ keywords
            {
              className: "keyword",
              begin: "@((-(o|moz|ms|webkit)-)?(" + AT_KEYWORDS.join("|") + "))\\b"
            },
            // variables
            VARIABLE,
            // dimension
            modes.CSS_NUMBER_MODE,
            // functions
            //  - only from beginning of line + whitespace
            {
              className: "function",
              begin: "^[a-zA-Z][a-zA-Z0-9_-]*\\(.*\\)",
              illegal: "[\\n]",
              returnBegin: true,
              contains: [
                {
                  className: "title",
                  begin: "\\b[a-zA-Z][a-zA-Z0-9_-]*"
                },
                {
                  className: "params",
                  begin: /\(/,
                  end: /\)/,
                  contains: [
                    modes.HEXCOLOR,
                    VARIABLE,
                    hljs.APOS_STRING_MODE,
                    modes.CSS_NUMBER_MODE,
                    hljs.QUOTE_STRING_MODE
                  ]
                }
              ]
            },
            // css variables
            modes.CSS_VARIABLE,
            // attributes
            //  - only from beginning of line + whitespace
            //  - must have whitespace after it
            {
              className: "attribute",
              begin: "\\b(" + ATTRIBUTES.join("|") + ")\\b",
              starts: {
                // value container
                end: /;|$/,
                contains: [
                  modes.HEXCOLOR,
                  VARIABLE,
                  hljs.APOS_STRING_MODE,
                  hljs.QUOTE_STRING_MODE,
                  modes.CSS_NUMBER_MODE,
                  hljs.C_BLOCK_COMMENT_MODE,
                  modes.IMPORTANT,
                  modes.FUNCTION_DISPATCH
                ],
                illegal: /\./,
                relevance: 0
              }
            },
            modes.FUNCTION_DISPATCH
          ]
        };
      }
      module.exports = stylus;
    }
  });

  // node_modules/highlight.js/lib/languages/subunit.js
  var require_subunit = __commonJS({
    "node_modules/highlight.js/lib/languages/subunit.js"(exports, module) {
      function subunit(hljs) {
        const DETAILS = {
          className: "string",
          begin: "\\[\n(multipart)?",
          end: "\\]\n"
        };
        const TIME = {
          className: "string",
          begin: "\\d{4}-\\d{2}-\\d{2}(\\s+)\\d{2}:\\d{2}:\\d{2}.\\d+Z"
        };
        const PROGRESSVALUE = {
          className: "string",
          begin: "(\\+|-)\\d+"
        };
        const KEYWORDS = {
          className: "keyword",
          relevance: 10,
          variants: [
            { begin: "^(test|testing|success|successful|failure|error|skip|xfail|uxsuccess)(:?)\\s+(test)?" },
            { begin: "^progress(:?)(\\s+)?(pop|push)?" },
            { begin: "^tags:" },
            { begin: "^time:" }
          ]
        };
        return {
          name: "SubUnit",
          case_insensitive: true,
          contains: [
            DETAILS,
            TIME,
            PROGRESSVALUE,
            KEYWORDS
          ]
        };
      }
      module.exports = subunit;
    }
  });

  // node_modules/highlight.js/lib/languages/swift.js
  var require_swift = __commonJS({
    "node_modules/highlight.js/lib/languages/swift.js"(exports, module) {
      function source(re2) {
        if (!re2) return null;
        if (typeof re2 === "string") return re2;
        return re2.source;
      }
      function lookahead(re2) {
        return concat("(?=", re2, ")");
      }
      function concat(...args) {
        const joined = args.map((x) => source(x)).join("");
        return joined;
      }
      function stripOptionsFromArgs(args) {
        const opts = args[args.length - 1];
        if (typeof opts === "object" && opts.constructor === Object) {
          args.splice(args.length - 1, 1);
          return opts;
        } else {
          return {};
        }
      }
      function either(...args) {
        const opts = stripOptionsFromArgs(args);
        const joined = "(" + (opts.capture ? "" : "?:") + args.map((x) => source(x)).join("|") + ")";
        return joined;
      }
      var keywordWrapper = (keyword) => concat(
        /\b/,
        keyword,
        /\w$/.test(keyword) ? /\b/ : /\B/
      );
      var dotKeywords = [
        "Protocol",
        // contextual
        "Type"
        // contextual
      ].map(keywordWrapper);
      var optionalDotKeywords = [
        "init",
        "self"
      ].map(keywordWrapper);
      var keywordTypes = [
        "Any",
        "Self"
      ];
      var keywords = [
        // strings below will be fed into the regular `keywords` engine while regex
        // will result in additional modes being created to scan for those keywords to
        // avoid conflicts with other rules
        "actor",
        "any",
        // contextual
        "associatedtype",
        "async",
        "await",
        /as\?/,
        // operator
        /as!/,
        // operator
        "as",
        // operator
        "borrowing",
        // contextual
        "break",
        "case",
        "catch",
        "class",
        "consume",
        // contextual
        "consuming",
        // contextual
        "continue",
        "convenience",
        // contextual
        "copy",
        // contextual
        "default",
        "defer",
        "deinit",
        "didSet",
        // contextual
        "distributed",
        "do",
        "dynamic",
        // contextual
        "each",
        "else",
        "enum",
        "extension",
        "fallthrough",
        /fileprivate\(set\)/,
        "fileprivate",
        "final",
        // contextual
        "for",
        "func",
        "get",
        // contextual
        "guard",
        "if",
        "import",
        "indirect",
        // contextual
        "infix",
        // contextual
        /init\?/,
        /init!/,
        "inout",
        /internal\(set\)/,
        "internal",
        "in",
        "is",
        // operator
        "isolated",
        // contextual
        "nonisolated",
        // contextual
        "lazy",
        // contextual
        "let",
        "macro",
        "mutating",
        // contextual
        "nonmutating",
        // contextual
        /open\(set\)/,
        // contextual
        "open",
        // contextual
        "operator",
        "optional",
        // contextual
        "override",
        // contextual
        "package",
        "postfix",
        // contextual
        "precedencegroup",
        "prefix",
        // contextual
        /private\(set\)/,
        "private",
        "protocol",
        /public\(set\)/,
        "public",
        "repeat",
        "required",
        // contextual
        "rethrows",
        "return",
        "set",
        // contextual
        "some",
        // contextual
        "static",
        "struct",
        "subscript",
        "super",
        "switch",
        "throws",
        "throw",
        /try\?/,
        // operator
        /try!/,
        // operator
        "try",
        // operator
        "typealias",
        /unowned\(safe\)/,
        // contextual
        /unowned\(unsafe\)/,
        // contextual
        "unowned",
        // contextual
        "var",
        "weak",
        // contextual
        "where",
        "while",
        "willSet"
        // contextual
      ];
      var literals = [
        "false",
        "nil",
        "true"
      ];
      var precedencegroupKeywords = [
        "assignment",
        "associativity",
        "higherThan",
        "left",
        "lowerThan",
        "none",
        "right"
      ];
      var numberSignKeywords = [
        "#colorLiteral",
        "#column",
        "#dsohandle",
        "#else",
        "#elseif",
        "#endif",
        "#error",
        "#file",
        "#fileID",
        "#fileLiteral",
        "#filePath",
        "#function",
        "#if",
        "#imageLiteral",
        "#keyPath",
        "#line",
        "#selector",
        "#sourceLocation",
        "#warning"
      ];
      var builtIns = [
        "abs",
        "all",
        "any",
        "assert",
        "assertionFailure",
        "debugPrint",
        "dump",
        "fatalError",
        "getVaList",
        "isKnownUniquelyReferenced",
        "max",
        "min",
        "numericCast",
        "pointwiseMax",
        "pointwiseMin",
        "precondition",
        "preconditionFailure",
        "print",
        "readLine",
        "repeatElement",
        "sequence",
        "stride",
        "swap",
        "swift_unboxFromSwiftValueWithType",
        "transcode",
        "type",
        "unsafeBitCast",
        "unsafeDowncast",
        "withExtendedLifetime",
        "withUnsafeMutablePointer",
        "withUnsafePointer",
        "withVaList",
        "withoutActuallyEscaping",
        "zip"
      ];
      var operatorHead = either(
        /[/=\-+!*%<>&|^~?]/,
        /[\u00A1-\u00A7]/,
        /[\u00A9\u00AB]/,
        /[\u00AC\u00AE]/,
        /[\u00B0\u00B1]/,
        /[\u00B6\u00BB\u00BF\u00D7\u00F7]/,
        /[\u2016-\u2017]/,
        /[\u2020-\u2027]/,
        /[\u2030-\u203E]/,
        /[\u2041-\u2053]/,
        /[\u2055-\u205E]/,
        /[\u2190-\u23FF]/,
        /[\u2500-\u2775]/,
        /[\u2794-\u2BFF]/,
        /[\u2E00-\u2E7F]/,
        /[\u3001-\u3003]/,
        /[\u3008-\u3020]/,
        /[\u3030]/
      );
      var operatorCharacter = either(
        operatorHead,
        /[\u0300-\u036F]/,
        /[\u1DC0-\u1DFF]/,
        /[\u20D0-\u20FF]/,
        /[\uFE00-\uFE0F]/,
        /[\uFE20-\uFE2F]/
        // TODO: The following characters are also allowed, but the regex isn't supported yet.
        // /[\u{E0100}-\u{E01EF}]/u
      );
      var operator = concat(operatorHead, operatorCharacter, "*");
      var identifierHead = either(
        /[a-zA-Z_]/,
        /[\u00A8\u00AA\u00AD\u00AF\u00B2-\u00B5\u00B7-\u00BA]/,
        /[\u00BC-\u00BE\u00C0-\u00D6\u00D8-\u00F6\u00F8-\u00FF]/,
        /[\u0100-\u02FF\u0370-\u167F\u1681-\u180D\u180F-\u1DBF]/,
        /[\u1E00-\u1FFF]/,
        /[\u200B-\u200D\u202A-\u202E\u203F-\u2040\u2054\u2060-\u206F]/,
        /[\u2070-\u20CF\u2100-\u218F\u2460-\u24FF\u2776-\u2793]/,
        /[\u2C00-\u2DFF\u2E80-\u2FFF]/,
        /[\u3004-\u3007\u3021-\u302F\u3031-\u303F\u3040-\uD7FF]/,
        /[\uF900-\uFD3D\uFD40-\uFDCF\uFDF0-\uFE1F\uFE30-\uFE44]/,
        /[\uFE47-\uFEFE\uFF00-\uFFFD]/
        // Should be /[\uFE47-\uFFFD]/, but we have to exclude FEFF.
        // The following characters are also allowed, but the regexes aren't supported yet.
        // /[\u{10000}-\u{1FFFD}\u{20000-\u{2FFFD}\u{30000}-\u{3FFFD}\u{40000}-\u{4FFFD}]/u,
        // /[\u{50000}-\u{5FFFD}\u{60000-\u{6FFFD}\u{70000}-\u{7FFFD}\u{80000}-\u{8FFFD}]/u,
        // /[\u{90000}-\u{9FFFD}\u{A0000-\u{AFFFD}\u{B0000}-\u{BFFFD}\u{C0000}-\u{CFFFD}]/u,
        // /[\u{D0000}-\u{DFFFD}\u{E0000-\u{EFFFD}]/u
      );
      var identifierCharacter = either(
        identifierHead,
        /\d/,
        /[\u0300-\u036F\u1DC0-\u1DFF\u20D0-\u20FF\uFE20-\uFE2F]/
      );
      var identifier = concat(identifierHead, identifierCharacter, "*");
      var typeIdentifier = concat(/[A-Z]/, identifierCharacter, "*");
      var keywordAttributes = [
        "attached",
        "autoclosure",
        concat(/convention\(/, either("swift", "block", "c"), /\)/),
        "discardableResult",
        "dynamicCallable",
        "dynamicMemberLookup",
        "escaping",
        "freestanding",
        "frozen",
        "GKInspectable",
        "IBAction",
        "IBDesignable",
        "IBInspectable",
        "IBOutlet",
        "IBSegueAction",
        "inlinable",
        "main",
        "nonobjc",
        "NSApplicationMain",
        "NSCopying",
        "NSManaged",
        concat(/objc\(/, identifier, /\)/),
        "objc",
        "objcMembers",
        "propertyWrapper",
        "requires_stored_property_inits",
        "resultBuilder",
        "Sendable",
        "testable",
        "UIApplicationMain",
        "unchecked",
        "unknown",
        "usableFromInline",
        "warn_unqualified_access"
      ];
      var availabilityKeywords = [
        "iOS",
        "iOSApplicationExtension",
        "macOS",
        "macOSApplicationExtension",
        "macCatalyst",
        "macCatalystApplicationExtension",
        "watchOS",
        "watchOSApplicationExtension",
        "tvOS",
        "tvOSApplicationExtension",
        "swift"
      ];
      function swift(hljs) {
        const WHITESPACE = {
          match: /\s+/,
          relevance: 0
        };
        const BLOCK_COMMENT = hljs.COMMENT(
          "/\\*",
          "\\*/",
          { contains: ["self"] }
        );
        const COMMENTS = [
          hljs.C_LINE_COMMENT_MODE,
          BLOCK_COMMENT
        ];
        const DOT_KEYWORD = {
          match: [
            /\./,
            either(...dotKeywords, ...optionalDotKeywords)
          ],
          className: { 2: "keyword" }
        };
        const KEYWORD_GUARD = {
          // Consume .keyword to prevent highlighting properties and methods as keywords.
          match: concat(/\./, either(...keywords)),
          relevance: 0
        };
        const PLAIN_KEYWORDS = keywords.filter((kw) => typeof kw === "string").concat(["_|0"]);
        const REGEX_KEYWORDS = keywords.filter((kw) => typeof kw !== "string").concat(keywordTypes).map(keywordWrapper);
        const KEYWORD = { variants: [
          {
            className: "keyword",
            match: either(...REGEX_KEYWORDS, ...optionalDotKeywords)
          }
        ] };
        const KEYWORDS = {
          $pattern: either(
            /\b\w+/,
            // regular keywords
            /#\w+/
            // number keywords
          ),
          keyword: PLAIN_KEYWORDS.concat(numberSignKeywords),
          literal: literals
        };
        const KEYWORD_MODES = [
          DOT_KEYWORD,
          KEYWORD_GUARD,
          KEYWORD
        ];
        const BUILT_IN_GUARD = {
          // Consume .built_in to prevent highlighting properties and methods.
          match: concat(/\./, either(...builtIns)),
          relevance: 0
        };
        const BUILT_IN = {
          className: "built_in",
          match: concat(/\b/, either(...builtIns), /(?=\()/)
        };
        const BUILT_INS = [
          BUILT_IN_GUARD,
          BUILT_IN
        ];
        const OPERATOR_GUARD = {
          // Prevent -> from being highlighting as an operator.
          match: /->/,
          relevance: 0
        };
        const OPERATOR = {
          className: "operator",
          relevance: 0,
          variants: [
            { match: operator },
            {
              // dot-operator: only operators that start with a dot are allowed to use dots as
              // characters (..., ...<, .*, etc). So there rule here is: a dot followed by one or more
              // characters that may also include dots.
              match: `\\.(\\.|${operatorCharacter})+`
            }
          ]
        };
        const OPERATORS = [
          OPERATOR_GUARD,
          OPERATOR
        ];
        const decimalDigits = "([0-9]_*)+";
        const hexDigits = "([0-9a-fA-F]_*)+";
        const NUMBER = {
          className: "number",
          relevance: 0,
          variants: [
            // decimal floating-point-literal (subsumes decimal-literal)
            { match: `\\b(${decimalDigits})(\\.(${decimalDigits}))?([eE][+-]?(${decimalDigits}))?\\b` },
            // hexadecimal floating-point-literal (subsumes hexadecimal-literal)
            { match: `\\b0x(${hexDigits})(\\.(${hexDigits}))?([pP][+-]?(${decimalDigits}))?\\b` },
            // octal-literal
            { match: /\b0o([0-7]_*)+\b/ },
            // binary-literal
            { match: /\b0b([01]_*)+\b/ }
          ]
        };
        const ESCAPED_CHARACTER = (rawDelimiter = "") => ({
          className: "subst",
          variants: [
            { match: concat(/\\/, rawDelimiter, /[0\\tnr"']/) },
            { match: concat(/\\/, rawDelimiter, /u\{[0-9a-fA-F]{1,8}\}/) }
          ]
        });
        const ESCAPED_NEWLINE = (rawDelimiter = "") => ({
          className: "subst",
          match: concat(/\\/, rawDelimiter, /[\t ]*(?:[\r\n]|\r\n)/)
        });
        const INTERPOLATION = (rawDelimiter = "") => ({
          className: "subst",
          label: "interpol",
          begin: concat(/\\/, rawDelimiter, /\(/),
          end: /\)/
        });
        const MULTILINE_STRING = (rawDelimiter = "") => ({
          begin: concat(rawDelimiter, /"""/),
          end: concat(/"""/, rawDelimiter),
          contains: [
            ESCAPED_CHARACTER(rawDelimiter),
            ESCAPED_NEWLINE(rawDelimiter),
            INTERPOLATION(rawDelimiter)
          ]
        });
        const SINGLE_LINE_STRING = (rawDelimiter = "") => ({
          begin: concat(rawDelimiter, /"/),
          end: concat(/"/, rawDelimiter),
          contains: [
            ESCAPED_CHARACTER(rawDelimiter),
            INTERPOLATION(rawDelimiter)
          ]
        });
        const STRING = {
          className: "string",
          variants: [
            MULTILINE_STRING(),
            MULTILINE_STRING("#"),
            MULTILINE_STRING("##"),
            MULTILINE_STRING("###"),
            SINGLE_LINE_STRING(),
            SINGLE_LINE_STRING("#"),
            SINGLE_LINE_STRING("##"),
            SINGLE_LINE_STRING("###")
          ]
        };
        const REGEXP_CONTENTS = [
          hljs.BACKSLASH_ESCAPE,
          {
            begin: /\[/,
            end: /\]/,
            relevance: 0,
            contains: [hljs.BACKSLASH_ESCAPE]
          }
        ];
        const BARE_REGEXP_LITERAL = {
          begin: /\/[^\s](?=[^/\n]*\/)/,
          end: /\//,
          contains: REGEXP_CONTENTS
        };
        const EXTENDED_REGEXP_LITERAL = (rawDelimiter) => {
          const begin = concat(rawDelimiter, /\//);
          const end = concat(/\//, rawDelimiter);
          return {
            begin,
            end,
            contains: [
              ...REGEXP_CONTENTS,
              {
                scope: "comment",
                begin: `#(?!.*${end})`,
                end: /$/
              }
            ]
          };
        };
        const REGEXP = {
          scope: "regexp",
          variants: [
            EXTENDED_REGEXP_LITERAL("###"),
            EXTENDED_REGEXP_LITERAL("##"),
            EXTENDED_REGEXP_LITERAL("#"),
            BARE_REGEXP_LITERAL
          ]
        };
        const QUOTED_IDENTIFIER = { match: concat(/`/, identifier, /`/) };
        const IMPLICIT_PARAMETER = {
          className: "variable",
          match: /\$\d+/
        };
        const PROPERTY_WRAPPER_PROJECTION = {
          className: "variable",
          match: `\\$${identifierCharacter}+`
        };
        const IDENTIFIERS = [
          QUOTED_IDENTIFIER,
          IMPLICIT_PARAMETER,
          PROPERTY_WRAPPER_PROJECTION
        ];
        const AVAILABLE_ATTRIBUTE = {
          match: /(@|#(un)?)available/,
          scope: "keyword",
          starts: { contains: [
            {
              begin: /\(/,
              end: /\)/,
              keywords: availabilityKeywords,
              contains: [
                ...OPERATORS,
                NUMBER,
                STRING
              ]
            }
          ] }
        };
        const KEYWORD_ATTRIBUTE = {
          scope: "keyword",
          match: concat(/@/, either(...keywordAttributes), lookahead(either(/\(/, /\s+/)))
        };
        const USER_DEFINED_ATTRIBUTE = {
          scope: "meta",
          match: concat(/@/, identifier)
        };
        const ATTRIBUTES = [
          AVAILABLE_ATTRIBUTE,
          KEYWORD_ATTRIBUTE,
          USER_DEFINED_ATTRIBUTE
        ];
        const TYPE = {
          match: lookahead(/\b[A-Z]/),
          relevance: 0,
          contains: [
            {
              // Common Apple frameworks, for relevance boost
              className: "type",
              match: concat(/(AV|CA|CF|CG|CI|CL|CM|CN|CT|MK|MP|MTK|MTL|NS|SCN|SK|UI|WK|XC)/, identifierCharacter, "+")
            },
            {
              // Type identifier
              className: "type",
              match: typeIdentifier,
              relevance: 0
            },
            {
              // Optional type
              match: /[?!]+/,
              relevance: 0
            },
            {
              // Variadic parameter
              match: /\.\.\./,
              relevance: 0
            },
            {
              // Protocol composition
              match: concat(/\s+&\s+/, lookahead(typeIdentifier)),
              relevance: 0
            }
          ]
        };
        const GENERIC_ARGUMENTS = {
          begin: /</,
          end: />/,
          keywords: KEYWORDS,
          contains: [
            ...COMMENTS,
            ...KEYWORD_MODES,
            ...ATTRIBUTES,
            OPERATOR_GUARD,
            TYPE
          ]
        };
        TYPE.contains.push(GENERIC_ARGUMENTS);
        const TUPLE_ELEMENT_NAME = {
          match: concat(identifier, /\s*:/),
          keywords: "_|0",
          relevance: 0
        };
        const TUPLE = {
          begin: /\(/,
          end: /\)/,
          relevance: 0,
          keywords: KEYWORDS,
          contains: [
            "self",
            TUPLE_ELEMENT_NAME,
            ...COMMENTS,
            REGEXP,
            ...KEYWORD_MODES,
            ...BUILT_INS,
            ...OPERATORS,
            NUMBER,
            STRING,
            ...IDENTIFIERS,
            ...ATTRIBUTES,
            TYPE
          ]
        };
        const GENERIC_PARAMETERS = {
          begin: /</,
          end: />/,
          keywords: "repeat each",
          contains: [
            ...COMMENTS,
            TYPE
          ]
        };
        const FUNCTION_PARAMETER_NAME = {
          begin: either(
            lookahead(concat(identifier, /\s*:/)),
            lookahead(concat(identifier, /\s+/, identifier, /\s*:/))
          ),
          end: /:/,
          relevance: 0,
          contains: [
            {
              className: "keyword",
              match: /\b_\b/
            },
            {
              className: "params",
              match: identifier
            }
          ]
        };
        const FUNCTION_PARAMETERS = {
          begin: /\(/,
          end: /\)/,
          keywords: KEYWORDS,
          contains: [
            FUNCTION_PARAMETER_NAME,
            ...COMMENTS,
            ...KEYWORD_MODES,
            ...OPERATORS,
            NUMBER,
            STRING,
            ...ATTRIBUTES,
            TYPE,
            TUPLE
          ],
          endsParent: true,
          illegal: /["']/
        };
        const FUNCTION_OR_MACRO = {
          match: [
            /(func|macro)/,
            /\s+/,
            either(QUOTED_IDENTIFIER.match, identifier, operator)
          ],
          className: {
            1: "keyword",
            3: "title.function"
          },
          contains: [
            GENERIC_PARAMETERS,
            FUNCTION_PARAMETERS,
            WHITESPACE
          ],
          illegal: [
            /\[/,
            /%/
          ]
        };
        const INIT_SUBSCRIPT = {
          match: [
            /\b(?:subscript|init[?!]?)/,
            /\s*(?=[<(])/
          ],
          className: { 1: "keyword" },
          contains: [
            GENERIC_PARAMETERS,
            FUNCTION_PARAMETERS,
            WHITESPACE
          ],
          illegal: /\[|%/
        };
        const OPERATOR_DECLARATION = {
          match: [
            /operator/,
            /\s+/,
            operator
          ],
          className: {
            1: "keyword",
            3: "title"
          }
        };
        const PRECEDENCEGROUP = {
          begin: [
            /precedencegroup/,
            /\s+/,
            typeIdentifier
          ],
          className: {
            1: "keyword",
            3: "title"
          },
          contains: [TYPE],
          keywords: [
            ...precedencegroupKeywords,
            ...literals
          ],
          end: /}/
        };
        const CLASS_FUNC_DECLARATION = {
          match: [
            /class\b/,
            /\s+/,
            /func\b/,
            /\s+/,
            /\b[A-Za-z_][A-Za-z0-9_]*\b/
          ],
          scope: {
            1: "keyword",
            3: "keyword",
            5: "title.function"
          }
        };
        const CLASS_VAR_DECLARATION = {
          match: [
            /class\b/,
            /\s+/,
            /var\b/
          ],
          scope: {
            1: "keyword",
            3: "keyword"
          }
        };
        const TYPE_DECLARATION = {
          begin: [
            /(struct|protocol|class|extension|enum|actor)/,
            /\s+/,
            identifier,
            /\s*/
          ],
          beginScope: {
            1: "keyword",
            3: "title.class"
          },
          keywords: KEYWORDS,
          contains: [
            GENERIC_PARAMETERS,
            ...KEYWORD_MODES,
            {
              begin: /:/,
              end: /\{/,
              keywords: KEYWORDS,
              contains: [
                {
                  scope: "title.class.inherited",
                  match: typeIdentifier
                },
                ...KEYWORD_MODES
              ],
              relevance: 0
            }
          ]
        };
        for (const variant of STRING.variants) {
          const interpolation = variant.contains.find((mode) => mode.label === "interpol");
          interpolation.keywords = KEYWORDS;
          const submodes = [
            ...KEYWORD_MODES,
            ...BUILT_INS,
            ...OPERATORS,
            NUMBER,
            STRING,
            ...IDENTIFIERS
          ];
          interpolation.contains = [
            ...submodes,
            {
              begin: /\(/,
              end: /\)/,
              contains: [
                "self",
                ...submodes
              ]
            }
          ];
        }
        return {
          name: "Swift",
          keywords: KEYWORDS,
          contains: [
            ...COMMENTS,
            FUNCTION_OR_MACRO,
            INIT_SUBSCRIPT,
            CLASS_FUNC_DECLARATION,
            CLASS_VAR_DECLARATION,
            TYPE_DECLARATION,
            OPERATOR_DECLARATION,
            PRECEDENCEGROUP,
            {
              beginKeywords: "import",
              end: /$/,
              contains: [...COMMENTS],
              relevance: 0
            },
            REGEXP,
            ...KEYWORD_MODES,
            ...BUILT_INS,
            ...OPERATORS,
            NUMBER,
            STRING,
            ...IDENTIFIERS,
            ...ATTRIBUTES,
            TYPE,
            TUPLE
          ]
        };
      }
      module.exports = swift;
    }
  });

  // node_modules/highlight.js/lib/languages/taggerscript.js
  var require_taggerscript = __commonJS({
    "node_modules/highlight.js/lib/languages/taggerscript.js"(exports, module) {
      function taggerscript(hljs) {
        const NOOP = {
          className: "comment",
          begin: /\$noop\(/,
          end: /\)/,
          contains: [
            { begin: /\\[()]/ },
            {
              begin: /\(/,
              end: /\)/,
              contains: [
                { begin: /\\[()]/ },
                "self"
              ]
            }
          ],
          relevance: 10
        };
        const FUNCTION = {
          className: "keyword",
          begin: /\$[_a-zA-Z0-9]+(?=\()/
        };
        const VARIABLE = {
          className: "variable",
          begin: /%[_a-zA-Z0-9:]+%/
        };
        const ESCAPE_SEQUENCE_UNICODE = {
          className: "symbol",
          begin: /\\u[a-fA-F0-9]{4}/
        };
        const ESCAPE_SEQUENCE = {
          className: "symbol",
          begin: /\\[\\nt$%,()]/
        };
        return {
          name: "Tagger Script",
          contains: [
            NOOP,
            FUNCTION,
            VARIABLE,
            ESCAPE_SEQUENCE,
            ESCAPE_SEQUENCE_UNICODE
          ]
        };
      }
      module.exports = taggerscript;
    }
  });

  // node_modules/highlight.js/lib/languages/yaml.js
  var require_yaml = __commonJS({
    "node_modules/highlight.js/lib/languages/yaml.js"(exports, module) {
      function yaml(hljs) {
        const LITERALS = "true false yes no null";
        const URI_CHARACTERS = "[\\w#;/?:@&=+$,.~*'()[\\]]+";
        const KEY = {
          className: "attr",
          variants: [
            // added brackets support and special char support
            { begin: /[\w*@][\w*@ :()\./-]*:(?=[ \t]|$)/ },
            {
              // double quoted keys - with brackets and special char support
              begin: /"[\w*@][\w*@ :()\./-]*":(?=[ \t]|$)/
            },
            {
              // single quoted keys - with brackets and special char support
              begin: /'[\w*@][\w*@ :()\./-]*':(?=[ \t]|$)/
            }
          ]
        };
        const TEMPLATE_VARIABLES = {
          className: "template-variable",
          variants: [
            {
              // jinja templates Ansible
              begin: /\{\{/,
              end: /\}\}/
            },
            {
              // Ruby i18n
              begin: /%\{/,
              end: /\}/
            }
          ]
        };
        const SINGLE_QUOTE_STRING = {
          className: "string",
          relevance: 0,
          begin: /'/,
          end: /'/,
          contains: [
            {
              match: /''/,
              scope: "char.escape",
              relevance: 0
            }
          ]
        };
        const STRING = {
          className: "string",
          relevance: 0,
          variants: [
            {
              begin: /"/,
              end: /"/
            },
            { begin: /\S+/ }
          ],
          contains: [
            hljs.BACKSLASH_ESCAPE,
            TEMPLATE_VARIABLES
          ]
        };
        const CONTAINER_STRING = hljs.inherit(STRING, { variants: [
          {
            begin: /'/,
            end: /'/,
            contains: [
              {
                begin: /''/,
                relevance: 0
              }
            ]
          },
          {
            begin: /"/,
            end: /"/
          },
          { begin: /[^\s,{}[\]]+/ }
        ] });
        const DATE_RE = "[0-9]{4}(-[0-9][0-9]){0,2}";
        const TIME_RE = "([Tt \\t][0-9][0-9]?(:[0-9][0-9]){2})?";
        const FRACTION_RE = "(\\.[0-9]*)?";
        const ZONE_RE = "([ \\t])*(Z|[-+][0-9][0-9]?(:[0-9][0-9])?)?";
        const TIMESTAMP = {
          className: "number",
          begin: "\\b" + DATE_RE + TIME_RE + FRACTION_RE + ZONE_RE + "\\b"
        };
        const VALUE_CONTAINER = {
          end: ",",
          endsWithParent: true,
          excludeEnd: true,
          keywords: LITERALS,
          relevance: 0
        };
        const OBJECT = {
          begin: /\{/,
          end: /\}/,
          contains: [VALUE_CONTAINER],
          illegal: "\\n",
          relevance: 0
        };
        const ARRAY = {
          begin: "\\[",
          end: "\\]",
          contains: [VALUE_CONTAINER],
          illegal: "\\n",
          relevance: 0
        };
        const MODES = [
          KEY,
          {
            className: "meta",
            begin: "^---\\s*$",
            relevance: 10
          },
          {
            // multi line string
            // Blocks start with a | or > followed by a newline
            //
            // Indentation of subsequent lines must be the same to
            // be considered part of the block
            className: "string",
            begin: "[\\|>]([1-9]?[+-])?[ ]*\\n( +)[^ ][^\\n]*\\n(\\2[^\\n]+\\n?)*"
          },
          {
            // Ruby/Rails erb
            begin: "<%[%=-]?",
            end: "[%-]?%>",
            subLanguage: "ruby",
            excludeBegin: true,
            excludeEnd: true,
            relevance: 0
          },
          {
            // named tags
            className: "type",
            begin: "!\\w+!" + URI_CHARACTERS
          },
          // https://yaml.org/spec/1.2/spec.html#id2784064
          {
            // verbatim tags
            className: "type",
            begin: "!<" + URI_CHARACTERS + ">"
          },
          {
            // primary tags
            className: "type",
            begin: "!" + URI_CHARACTERS
          },
          {
            // secondary tags
            className: "type",
            begin: "!!" + URI_CHARACTERS
          },
          {
            // fragment id &ref
            className: "meta",
            begin: "&" + hljs.UNDERSCORE_IDENT_RE + "$"
          },
          {
            // fragment reference *ref
            className: "meta",
            begin: "\\*" + hljs.UNDERSCORE_IDENT_RE + "$"
          },
          {
            // array listing
            className: "bullet",
            // TODO: remove |$ hack when we have proper look-ahead support
            begin: "-(?=[ ]|$)",
            relevance: 0
          },
          hljs.HASH_COMMENT_MODE,
          {
            beginKeywords: LITERALS,
            keywords: { literal: LITERALS }
          },
          TIMESTAMP,
          // numbers are any valid C-style number that
          // sit isolated from other words
          {
            className: "number",
            begin: hljs.C_NUMBER_RE + "\\b",
            relevance: 0
          },
          OBJECT,
          ARRAY,
          SINGLE_QUOTE_STRING,
          STRING
        ];
        const VALUE_MODES = [...MODES];
        VALUE_MODES.pop();
        VALUE_MODES.push(CONTAINER_STRING);
        VALUE_CONTAINER.contains = VALUE_MODES;
        return {
          name: "YAML",
          case_insensitive: true,
          aliases: ["yml"],
          contains: MODES
        };
      }
      module.exports = yaml;
    }
  });

  // node_modules/highlight.js/lib/languages/tap.js
  var require_tap = __commonJS({
    "node_modules/highlight.js/lib/languages/tap.js"(exports, module) {
      function tap(hljs) {
        return {
          name: "Test Anything Protocol",
          case_insensitive: true,
          contains: [
            hljs.HASH_COMMENT_MODE,
            // version of format and total amount of testcases
            {
              className: "meta",
              variants: [
                { begin: "^TAP version (\\d+)$" },
                { begin: "^1\\.\\.(\\d+)$" }
              ]
            },
            // YAML block
            {
              begin: /---$/,
              end: "\\.\\.\\.$",
              subLanguage: "yaml",
              relevance: 0
            },
            // testcase number
            {
              className: "number",
              begin: " (\\d+) "
            },
            // testcase status and description
            {
              className: "symbol",
              variants: [
                { begin: "^ok" },
                { begin: "^not ok" }
              ]
            }
          ]
        };
      }
      module.exports = tap;
    }
  });

  // node_modules/highlight.js/lib/languages/tcl.js
  var require_tcl = __commonJS({
    "node_modules/highlight.js/lib/languages/tcl.js"(exports, module) {
      function tcl(hljs) {
        const regex = hljs.regex;
        const TCL_IDENT = /[a-zA-Z_][a-zA-Z0-9_]*/;
        const NUMBER = {
          className: "number",
          variants: [
            hljs.BINARY_NUMBER_MODE,
            hljs.C_NUMBER_MODE
          ]
        };
        const KEYWORDS = [
          "after",
          "append",
          "apply",
          "array",
          "auto_execok",
          "auto_import",
          "auto_load",
          "auto_mkindex",
          "auto_mkindex_old",
          "auto_qualify",
          "auto_reset",
          "bgerror",
          "binary",
          "break",
          "catch",
          "cd",
          "chan",
          "clock",
          "close",
          "concat",
          "continue",
          "dde",
          "dict",
          "encoding",
          "eof",
          "error",
          "eval",
          "exec",
          "exit",
          "expr",
          "fblocked",
          "fconfigure",
          "fcopy",
          "file",
          "fileevent",
          "filename",
          "flush",
          "for",
          "foreach",
          "format",
          "gets",
          "glob",
          "global",
          "history",
          "http",
          "if",
          "incr",
          "info",
          "interp",
          "join",
          "lappend|10",
          "lassign|10",
          "lindex|10",
          "linsert|10",
          "list",
          "llength|10",
          "load",
          "lrange|10",
          "lrepeat|10",
          "lreplace|10",
          "lreverse|10",
          "lsearch|10",
          "lset|10",
          "lsort|10",
          "mathfunc",
          "mathop",
          "memory",
          "msgcat",
          "namespace",
          "open",
          "package",
          "parray",
          "pid",
          "pkg::create",
          "pkg_mkIndex",
          "platform",
          "platform::shell",
          "proc",
          "puts",
          "pwd",
          "read",
          "refchan",
          "regexp",
          "registry",
          "regsub|10",
          "rename",
          "return",
          "safe",
          "scan",
          "seek",
          "set",
          "socket",
          "source",
          "split",
          "string",
          "subst",
          "switch",
          "tcl_endOfWord",
          "tcl_findLibrary",
          "tcl_startOfNextWord",
          "tcl_startOfPreviousWord",
          "tcl_wordBreakAfter",
          "tcl_wordBreakBefore",
          "tcltest",
          "tclvars",
          "tell",
          "time",
          "tm",
          "trace",
          "unknown",
          "unload",
          "unset",
          "update",
          "uplevel",
          "upvar",
          "variable",
          "vwait",
          "while"
        ];
        return {
          name: "Tcl",
          aliases: ["tk"],
          keywords: KEYWORDS,
          contains: [
            hljs.COMMENT(";[ \\t]*#", "$"),
            hljs.COMMENT("^[ \\t]*#", "$"),
            {
              beginKeywords: "proc",
              end: "[\\{]",
              excludeEnd: true,
              contains: [
                {
                  className: "title",
                  begin: "[ \\t\\n\\r]+(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*",
                  end: "[ \\t\\n\\r]",
                  endsWithParent: true,
                  excludeEnd: true
                }
              ]
            },
            {
              className: "variable",
              variants: [
                { begin: regex.concat(
                  /\$/,
                  regex.optional(/::/),
                  TCL_IDENT,
                  "(::",
                  TCL_IDENT,
                  ")*"
                ) },
                {
                  begin: "\\$\\{(::)?[a-zA-Z_]((::)?[a-zA-Z0-9_])*",
                  end: "\\}",
                  contains: [NUMBER]
                }
              ]
            },
            {
              className: "string",
              contains: [hljs.BACKSLASH_ESCAPE],
              variants: [hljs.inherit(hljs.QUOTE_STRING_MODE, { illegal: null })]
            },
            NUMBER
          ]
        };
      }
      module.exports = tcl;
    }
  });

  // node_modules/highlight.js/lib/languages/thrift.js
  var require_thrift = __commonJS({
    "node_modules/highlight.js/lib/languages/thrift.js"(exports, module) {
      function thrift(hljs) {
        const TYPES = [
          "bool",
          "byte",
          "i16",
          "i32",
          "i64",
          "double",
          "string",
          "binary"
        ];
        const KEYWORDS = [
          "namespace",
          "const",
          "typedef",
          "struct",
          "enum",
          "service",
          "exception",
          "void",
          "oneway",
          "set",
          "list",
          "map",
          "required",
          "optional"
        ];
        return {
          name: "Thrift",
          keywords: {
            keyword: KEYWORDS,
            type: TYPES,
            literal: "true false"
          },
          contains: [
            hljs.QUOTE_STRING_MODE,
            hljs.NUMBER_MODE,
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            {
              className: "class",
              beginKeywords: "struct enum service exception",
              end: /\{/,
              illegal: /\n/,
              contains: [
                hljs.inherit(hljs.TITLE_MODE, {
                  // hack: eating everything after the first title
                  starts: {
                    endsWithParent: true,
                    excludeEnd: true
                  }
                })
              ]
            },
            {
              begin: "\\b(set|list|map)\\s*<",
              keywords: { type: [
                ...TYPES,
                "set",
                "list",
                "map"
              ] },
              end: ">",
              contains: ["self"]
            }
          ]
        };
      }
      module.exports = thrift;
    }
  });

  // node_modules/highlight.js/lib/languages/tp.js
  var require_tp = __commonJS({
    "node_modules/highlight.js/lib/languages/tp.js"(exports, module) {
      function tp(hljs) {
        const TPID = {
          className: "number",
          begin: "[1-9][0-9]*",
          /* no leading zeros */
          relevance: 0
        };
        const TPLABEL = {
          className: "symbol",
          begin: ":[^\\]]+"
        };
        const TPDATA = {
          className: "built_in",
          begin: "(AR|P|PAYLOAD|PR|R|SR|RSR|LBL|VR|UALM|MESSAGE|UTOOL|UFRAME|TIMER|TIMER_OVERFLOW|JOINT_MAX_SPEED|RESUME_PROG|DIAG_REC)\\[",
          end: "\\]",
          contains: [
            "self",
            TPID,
            TPLABEL
          ]
        };
        const TPIO = {
          className: "built_in",
          begin: "(AI|AO|DI|DO|F|RI|RO|UI|UO|GI|GO|SI|SO)\\[",
          end: "\\]",
          contains: [
            "self",
            TPID,
            hljs.QUOTE_STRING_MODE,
            /* for pos section at bottom */
            TPLABEL
          ]
        };
        const KEYWORDS = [
          "ABORT",
          "ACC",
          "ADJUST",
          "AND",
          "AP_LD",
          "BREAK",
          "CALL",
          "CNT",
          "COL",
          "CONDITION",
          "CONFIG",
          "DA",
          "DB",
          "DIV",
          "DETECT",
          "ELSE",
          "END",
          "ENDFOR",
          "ERR_NUM",
          "ERROR_PROG",
          "FINE",
          "FOR",
          "GP",
          "GUARD",
          "INC",
          "IF",
          "JMP",
          "LINEAR_MAX_SPEED",
          "LOCK",
          "MOD",
          "MONITOR",
          "OFFSET",
          "Offset",
          "OR",
          "OVERRIDE",
          "PAUSE",
          "PREG",
          "PTH",
          "RT_LD",
          "RUN",
          "SELECT",
          "SKIP",
          "Skip",
          "TA",
          "TB",
          "TO",
          "TOOL_OFFSET",
          "Tool_Offset",
          "UF",
          "UT",
          "UFRAME_NUM",
          "UTOOL_NUM",
          "UNLOCK",
          "WAIT",
          "X",
          "Y",
          "Z",
          "W",
          "P",
          "R",
          "STRLEN",
          "SUBSTR",
          "FINDSTR",
          "VOFFSET",
          "PROG",
          "ATTR",
          "MN",
          "POS"
        ];
        const LITERALS = [
          "ON",
          "OFF",
          "max_speed",
          "LPOS",
          "JPOS",
          "ENABLE",
          "DISABLE",
          "START",
          "STOP",
          "RESET"
        ];
        return {
          name: "TP",
          keywords: {
            keyword: KEYWORDS,
            literal: LITERALS
          },
          contains: [
            TPDATA,
            TPIO,
            {
              className: "keyword",
              begin: "/(PROG|ATTR|MN|POS|END)\\b"
            },
            {
              /* this is for cases like ,CALL */
              className: "keyword",
              begin: "(CALL|RUN|POINT_LOGIC|LBL)\\b"
            },
            {
              /* this is for cases like CNT100 where the default lexemes do not
               * separate the keyword and the number */
              className: "keyword",
              begin: "\\b(ACC|CNT|Skip|Offset|PSPD|RT_LD|AP_LD|Tool_Offset)"
            },
            {
              /* to catch numbers that do not have a word boundary on the left */
              className: "number",
              begin: "\\d+(sec|msec|mm/sec|cm/min|inch/min|deg/sec|mm|in|cm)?\\b",
              relevance: 0
            },
            hljs.COMMENT("//", "[;$]"),
            hljs.COMMENT("!", "[;$]"),
            hljs.COMMENT("--eg:", "$"),
            hljs.QUOTE_STRING_MODE,
            {
              className: "string",
              begin: "'",
              end: "'"
            },
            hljs.C_NUMBER_MODE,
            {
              className: "variable",
              begin: "\\$[A-Za-z0-9_]+"
            }
          ]
        };
      }
      module.exports = tp;
    }
  });

  // node_modules/highlight.js/lib/languages/twig.js
  var require_twig = __commonJS({
    "node_modules/highlight.js/lib/languages/twig.js"(exports, module) {
      function twig(hljs) {
        const regex = hljs.regex;
        const FUNCTION_NAMES = [
          "absolute_url",
          "asset|0",
          "asset_version",
          "attribute",
          "block",
          "constant",
          "controller|0",
          "country_timezones",
          "csrf_token",
          "cycle",
          "date",
          "dump",
          "expression",
          "form|0",
          "form_end",
          "form_errors",
          "form_help",
          "form_label",
          "form_rest",
          "form_row",
          "form_start",
          "form_widget",
          "html_classes",
          "include",
          "is_granted",
          "logout_path",
          "logout_url",
          "max",
          "min",
          "parent",
          "path|0",
          "random",
          "range",
          "relative_path",
          "render",
          "render_esi",
          "source",
          "template_from_string",
          "url|0"
        ];
        const FILTERS = [
          "abs",
          "abbr_class",
          "abbr_method",
          "batch",
          "capitalize",
          "column",
          "convert_encoding",
          "country_name",
          "currency_name",
          "currency_symbol",
          "data_uri",
          "date",
          "date_modify",
          "default",
          "escape",
          "file_excerpt",
          "file_link",
          "file_relative",
          "filter",
          "first",
          "format",
          "format_args",
          "format_args_as_text",
          "format_currency",
          "format_date",
          "format_datetime",
          "format_file",
          "format_file_from_text",
          "format_number",
          "format_time",
          "html_to_markdown",
          "humanize",
          "inky_to_html",
          "inline_css",
          "join",
          "json_encode",
          "keys",
          "language_name",
          "last",
          "length",
          "locale_name",
          "lower",
          "map",
          "markdown",
          "markdown_to_html",
          "merge",
          "nl2br",
          "number_format",
          "raw",
          "reduce",
          "replace",
          "reverse",
          "round",
          "slice",
          "slug",
          "sort",
          "spaceless",
          "split",
          "striptags",
          "timezone_name",
          "title",
          "trans",
          "transchoice",
          "trim",
          "u|0",
          "upper",
          "url_encode",
          "yaml_dump",
          "yaml_encode"
        ];
        let TAG_NAMES = [
          "apply",
          "autoescape",
          "block",
          "cache",
          "deprecated",
          "do",
          "embed",
          "extends",
          "filter",
          "flush",
          "for",
          "form_theme",
          "from",
          "if",
          "import",
          "include",
          "macro",
          "sandbox",
          "set",
          "stopwatch",
          "trans",
          "trans_default_domain",
          "transchoice",
          "use",
          "verbatim",
          "with"
        ];
        TAG_NAMES = TAG_NAMES.concat(TAG_NAMES.map((t) => `end${t}`));
        const STRING = {
          scope: "string",
          variants: [
            {
              begin: /'/,
              end: /'/
            },
            {
              begin: /"/,
              end: /"/
            }
          ]
        };
        const NUMBER = {
          scope: "number",
          match: /\d+/
        };
        const PARAMS = {
          begin: /\(/,
          end: /\)/,
          excludeBegin: true,
          excludeEnd: true,
          contains: [
            STRING,
            NUMBER
          ]
        };
        const FUNCTIONS = {
          beginKeywords: FUNCTION_NAMES.join(" "),
          keywords: { name: FUNCTION_NAMES },
          relevance: 0,
          contains: [PARAMS]
        };
        const FILTER = {
          match: /\|(?=[A-Za-z_]+:?)/,
          beginScope: "punctuation",
          relevance: 0,
          contains: [
            {
              match: /[A-Za-z_]+:?/,
              keywords: FILTERS
            }
          ]
        };
        const tagNamed = (tagnames, { relevance }) => {
          return {
            beginScope: {
              1: "template-tag",
              3: "name"
            },
            relevance: relevance || 2,
            endScope: "template-tag",
            begin: [
              /\{%/,
              /\s*/,
              regex.either(...tagnames)
            ],
            end: /%\}/,
            keywords: "in",
            contains: [
              FILTER,
              FUNCTIONS,
              STRING,
              NUMBER
            ]
          };
        };
        const CUSTOM_TAG_RE = /[a-z_]+/;
        const TAG = tagNamed(TAG_NAMES, { relevance: 2 });
        const CUSTOM_TAG = tagNamed([CUSTOM_TAG_RE], { relevance: 1 });
        return {
          name: "Twig",
          aliases: ["craftcms"],
          case_insensitive: true,
          subLanguage: "xml",
          contains: [
            hljs.COMMENT(/\{#/, /#\}/),
            TAG,
            CUSTOM_TAG,
            {
              className: "template-variable",
              begin: /\{\{/,
              end: /\}\}/,
              contains: [
                "self",
                FILTER,
                FUNCTIONS,
                STRING,
                NUMBER
              ]
            }
          ]
        };
      }
      module.exports = twig;
    }
  });

  // node_modules/highlight.js/lib/languages/typescript.js
  var require_typescript = __commonJS({
    "node_modules/highlight.js/lib/languages/typescript.js"(exports, module) {
      var IDENT_RE = "[A-Za-z$_][0-9A-Za-z$_]*";
      var KEYWORDS = [
        "as",
        // for exports
        "in",
        "of",
        "if",
        "for",
        "while",
        "finally",
        "var",
        "new",
        "function",
        "do",
        "return",
        "void",
        "else",
        "break",
        "catch",
        "instanceof",
        "with",
        "throw",
        "case",
        "default",
        "try",
        "switch",
        "continue",
        "typeof",
        "delete",
        "let",
        "yield",
        "const",
        "class",
        // JS handles these with a special rule
        // "get",
        // "set",
        "debugger",
        "async",
        "await",
        "static",
        "import",
        "from",
        "export",
        "extends",
        // It's reached stage 3, which is "recommended for implementation":
        "using"
      ];
      var LITERALS = [
        "true",
        "false",
        "null",
        "undefined",
        "NaN",
        "Infinity"
      ];
      var TYPES = [
        // Fundamental objects
        "Object",
        "Function",
        "Boolean",
        "Symbol",
        // numbers and dates
        "Math",
        "Date",
        "Number",
        "BigInt",
        // text
        "String",
        "RegExp",
        // Indexed collections
        "Array",
        "Float32Array",
        "Float64Array",
        "Int8Array",
        "Uint8Array",
        "Uint8ClampedArray",
        "Int16Array",
        "Int32Array",
        "Uint16Array",
        "Uint32Array",
        "BigInt64Array",
        "BigUint64Array",
        // Keyed collections
        "Set",
        "Map",
        "WeakSet",
        "WeakMap",
        // Structured data
        "ArrayBuffer",
        "SharedArrayBuffer",
        "Atomics",
        "DataView",
        "JSON",
        // Control abstraction objects
        "Promise",
        "Generator",
        "GeneratorFunction",
        "AsyncFunction",
        // Reflection
        "Reflect",
        "Proxy",
        // Internationalization
        "Intl",
        // WebAssembly
        "WebAssembly"
      ];
      var ERROR_TYPES = [
        "Error",
        "EvalError",
        "InternalError",
        "RangeError",
        "ReferenceError",
        "SyntaxError",
        "TypeError",
        "URIError"
      ];
      var BUILT_IN_GLOBALS = [
        "setInterval",
        "setTimeout",
        "clearInterval",
        "clearTimeout",
        "require",
        "exports",
        "eval",
        "isFinite",
        "isNaN",
        "parseFloat",
        "parseInt",
        "decodeURI",
        "decodeURIComponent",
        "encodeURI",
        "encodeURIComponent",
        "escape",
        "unescape"
      ];
      var BUILT_IN_VARIABLES = [
        "arguments",
        "this",
        "super",
        "console",
        "window",
        "document",
        "localStorage",
        "sessionStorage",
        "module",
        "global"
        // Node.js
      ];
      var BUILT_INS = [].concat(
        BUILT_IN_GLOBALS,
        TYPES,
        ERROR_TYPES
      );
      function javascript(hljs) {
        const regex = hljs.regex;
        const hasClosingTag = (match, { after }) => {
          const tag = "</" + match[0].slice(1);
          const pos = match.input.indexOf(tag, after);
          return pos !== -1;
        };
        const IDENT_RE$1 = IDENT_RE;
        const FRAGMENT = {
          begin: "<>",
          end: "</>"
        };
        const XML_SELF_CLOSING = /<[A-Za-z0-9\\._:-]+\s*\/>/;
        const XML_TAG = {
          begin: /<[A-Za-z0-9\\._:-]+/,
          end: /\/[A-Za-z0-9\\._:-]+>|\/>/,
          /**
           * @param {RegExpMatchArray} match
           * @param {CallbackResponse} response
           */
          isTrulyOpeningTag: (match, response) => {
            const afterMatchIndex = match[0].length + match.index;
            const nextChar = match.input[afterMatchIndex];
            if (
              // HTML should not include another raw `<` inside a tag
              // nested type?
              // `<Array<Array<number>>`, etc.
              nextChar === "<" || // the , gives away that this is not HTML
              // `<T, A extends keyof T, V>`
              nextChar === ","
            ) {
              response.ignoreMatch();
              return;
            }
            if (nextChar === ">") {
              if (!hasClosingTag(match, { after: afterMatchIndex })) {
                response.ignoreMatch();
              }
            }
            let m2;
            const afterMatch = match.input.substring(afterMatchIndex);
            if (m2 = afterMatch.match(/^\s*=/)) {
              response.ignoreMatch();
              return;
            }
            if (m2 = afterMatch.match(/^\s+extends\s+/)) {
              if (m2.index === 0) {
                response.ignoreMatch();
                return;
              }
            }
          }
        };
        const KEYWORDS$1 = {
          $pattern: IDENT_RE,
          keyword: KEYWORDS,
          literal: LITERALS,
          built_in: BUILT_INS,
          "variable.language": BUILT_IN_VARIABLES
        };
        const decimalDigits = "[0-9](_?[0-9])*";
        const frac = `\\.(${decimalDigits})`;
        const decimalInteger = `0|[1-9](_?[0-9])*|0[0-7]*[89][0-9]*`;
        const NUMBER = {
          className: "number",
          variants: [
            // DecimalLiteral
            { begin: `(\\b(${decimalInteger})((${frac})|\\.)?|(${frac}))[eE][+-]?(${decimalDigits})\\b` },
            { begin: `\\b(${decimalInteger})\\b((${frac})\\b|\\.)?|(${frac})\\b` },
            // DecimalBigIntegerLiteral
            { begin: `\\b(0|[1-9](_?[0-9])*)n\\b` },
            // NonDecimalIntegerLiteral
            { begin: "\\b0[xX][0-9a-fA-F](_?[0-9a-fA-F])*n?\\b" },
            { begin: "\\b0[bB][0-1](_?[0-1])*n?\\b" },
            { begin: "\\b0[oO][0-7](_?[0-7])*n?\\b" },
            // LegacyOctalIntegerLiteral (does not include underscore separators)
            // https://tc39.es/ecma262/#sec-additional-syntax-numeric-literals
            { begin: "\\b0[0-7]+n?\\b" }
          ],
          relevance: 0
        };
        const SUBST = {
          className: "subst",
          begin: "\\$\\{",
          end: "\\}",
          keywords: KEYWORDS$1,
          contains: []
          // defined later
        };
        const HTML_TEMPLATE = {
          begin: ".?html`",
          end: "",
          starts: {
            end: "`",
            returnEnd: false,
            contains: [
              hljs.BACKSLASH_ESCAPE,
              SUBST
            ],
            subLanguage: "xml"
          }
        };
        const CSS_TEMPLATE = {
          begin: ".?css`",
          end: "",
          starts: {
            end: "`",
            returnEnd: false,
            contains: [
              hljs.BACKSLASH_ESCAPE,
              SUBST
            ],
            subLanguage: "css"
          }
        };
        const GRAPHQL_TEMPLATE = {
          begin: ".?gql`",
          end: "",
          starts: {
            end: "`",
            returnEnd: false,
            contains: [
              hljs.BACKSLASH_ESCAPE,
              SUBST
            ],
            subLanguage: "graphql"
          }
        };
        const TEMPLATE_STRING = {
          className: "string",
          begin: "`",
          end: "`",
          contains: [
            hljs.BACKSLASH_ESCAPE,
            SUBST
          ]
        };
        const JSDOC_COMMENT = hljs.COMMENT(
          /\/\*\*(?!\/)/,
          "\\*/",
          {
            relevance: 0,
            contains: [
              {
                begin: "(?=@[A-Za-z]+)",
                relevance: 0,
                contains: [
                  {
                    className: "doctag",
                    begin: "@[A-Za-z]+"
                  },
                  {
                    className: "type",
                    begin: "\\{",
                    end: "\\}",
                    excludeEnd: true,
                    excludeBegin: true,
                    relevance: 0
                  },
                  {
                    className: "variable",
                    begin: IDENT_RE$1 + "(?=\\s*(-)|$)",
                    endsParent: true,
                    relevance: 0
                  },
                  // eat spaces (not newlines) so we can find
                  // types or variables
                  {
                    begin: /(?=[^\n])\s/,
                    relevance: 0
                  }
                ]
              }
            ]
          }
        );
        const COMMENT = {
          className: "comment",
          variants: [
            JSDOC_COMMENT,
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.C_LINE_COMMENT_MODE
          ]
        };
        const SUBST_INTERNALS = [
          hljs.APOS_STRING_MODE,
          hljs.QUOTE_STRING_MODE,
          HTML_TEMPLATE,
          CSS_TEMPLATE,
          GRAPHQL_TEMPLATE,
          TEMPLATE_STRING,
          // Skip numbers when they are part of a variable name
          { match: /\$\d+/ },
          NUMBER
          // This is intentional:
          // See https://github.com/highlightjs/highlight.js/issues/3288
          // hljs.REGEXP_MODE
        ];
        SUBST.contains = SUBST_INTERNALS.concat({
          // we need to pair up {} inside our subst to prevent
          // it from ending too early by matching another }
          begin: /\{/,
          end: /\}/,
          keywords: KEYWORDS$1,
          contains: [
            "self"
          ].concat(SUBST_INTERNALS)
        });
        const SUBST_AND_COMMENTS = [].concat(COMMENT, SUBST.contains);
        const PARAMS_CONTAINS = SUBST_AND_COMMENTS.concat([
          // eat recursive parens in sub expressions
          {
            begin: /(\s*)\(/,
            end: /\)/,
            keywords: KEYWORDS$1,
            contains: ["self"].concat(SUBST_AND_COMMENTS)
          }
        ]);
        const PARAMS = {
          className: "params",
          // convert this to negative lookbehind in v12
          begin: /(\s*)\(/,
          // to match the parms with
          end: /\)/,
          excludeBegin: true,
          excludeEnd: true,
          keywords: KEYWORDS$1,
          contains: PARAMS_CONTAINS
        };
        const CLASS_OR_EXTENDS = {
          variants: [
            // class Car extends vehicle
            {
              match: [
                /class/,
                /\s+/,
                IDENT_RE$1,
                /\s+/,
                /extends/,
                /\s+/,
                regex.concat(IDENT_RE$1, "(", regex.concat(/\./, IDENT_RE$1), ")*")
              ],
              scope: {
                1: "keyword",
                3: "title.class",
                5: "keyword",
                7: "title.class.inherited"
              }
            },
            // class Car
            {
              match: [
                /class/,
                /\s+/,
                IDENT_RE$1
              ],
              scope: {
                1: "keyword",
                3: "title.class"
              }
            }
          ]
        };
        const CLASS_REFERENCE = {
          relevance: 0,
          match: regex.either(
            // Hard coded exceptions
            /\bJSON/,
            // Float32Array, OutT
            /\b[A-Z][a-z]+([A-Z][a-z]*|\d)*/,
            // CSSFactory, CSSFactoryT
            /\b[A-Z]{2,}([A-Z][a-z]+|\d)+([A-Z][a-z]*)*/,
            // FPs, FPsT
            /\b[A-Z]{2,}[a-z]+([A-Z][a-z]+|\d)*([A-Z][a-z]*)*/
            // P
            // single letters are not highlighted
            // BLAH
            // this will be flagged as a UPPER_CASE_CONSTANT instead
          ),
          className: "title.class",
          keywords: {
            _: [
              // se we still get relevance credit for JS library classes
              ...TYPES,
              ...ERROR_TYPES
            ]
          }
        };
        const USE_STRICT = {
          label: "use_strict",
          className: "meta",
          relevance: 10,
          begin: /^\s*['"]use (strict|asm)['"]/
        };
        const FUNCTION_DEFINITION = {
          variants: [
            {
              match: [
                /function/,
                /\s+/,
                IDENT_RE$1,
                /(?=\s*\()/
              ]
            },
            // anonymous function
            {
              match: [
                /function/,
                /\s*(?=\()/
              ]
            }
          ],
          className: {
            1: "keyword",
            3: "title.function"
          },
          label: "func.def",
          contains: [PARAMS],
          illegal: /%/
        };
        const UPPER_CASE_CONSTANT = {
          relevance: 0,
          match: /\b[A-Z][A-Z_0-9]+\b/,
          className: "variable.constant"
        };
        function noneOf(list) {
          return regex.concat("(?!", list.join("|"), ")");
        }
        const FUNCTION_CALL = {
          match: regex.concat(
            /\b/,
            noneOf([
              ...BUILT_IN_GLOBALS,
              "super",
              "import"
            ].map((x) => `${x}\\s*\\(`)),
            IDENT_RE$1,
            regex.lookahead(/\s*\(/)
          ),
          className: "title.function",
          relevance: 0
        };
        const PROPERTY_ACCESS = {
          begin: regex.concat(/\./, regex.lookahead(
            regex.concat(IDENT_RE$1, /(?![0-9A-Za-z$_(])/)
          )),
          end: IDENT_RE$1,
          excludeBegin: true,
          keywords: "prototype",
          className: "property",
          relevance: 0
        };
        const GETTER_OR_SETTER = {
          match: [
            /get|set/,
            /\s+/,
            IDENT_RE$1,
            /(?=\()/
          ],
          className: {
            1: "keyword",
            3: "title.function"
          },
          contains: [
            {
              // eat to avoid empty params
              begin: /\(\)/
            },
            PARAMS
          ]
        };
        const FUNC_LEAD_IN_RE = "(\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)|" + hljs.UNDERSCORE_IDENT_RE + ")\\s*=>";
        const FUNCTION_VARIABLE = {
          match: [
            /const|var|let/,
            /\s+/,
            IDENT_RE$1,
            /\s*/,
            /=\s*/,
            /(async\s*)?/,
            // async is optional
            regex.lookahead(FUNC_LEAD_IN_RE)
          ],
          keywords: "async",
          className: {
            1: "keyword",
            3: "title.function"
          },
          contains: [
            PARAMS
          ]
        };
        return {
          name: "JavaScript",
          aliases: ["js", "jsx", "mjs", "cjs"],
          keywords: KEYWORDS$1,
          // this will be extended by TypeScript
          exports: { PARAMS_CONTAINS, CLASS_REFERENCE },
          illegal: /#(?![$_A-z])/,
          contains: [
            hljs.SHEBANG({
              label: "shebang",
              binary: "node",
              relevance: 5
            }),
            USE_STRICT,
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            HTML_TEMPLATE,
            CSS_TEMPLATE,
            GRAPHQL_TEMPLATE,
            TEMPLATE_STRING,
            COMMENT,
            // Skip numbers when they are part of a variable name
            { match: /\$\d+/ },
            NUMBER,
            CLASS_REFERENCE,
            {
              scope: "attr",
              match: IDENT_RE$1 + regex.lookahead(":"),
              relevance: 0
            },
            FUNCTION_VARIABLE,
            {
              // "value" container
              begin: "(" + hljs.RE_STARTERS_RE + "|\\b(case|return|throw)\\b)\\s*",
              keywords: "return throw case",
              relevance: 0,
              contains: [
                COMMENT,
                hljs.REGEXP_MODE,
                {
                  className: "function",
                  // we have to count the parens to make sure we actually have the
                  // correct bounding ( ) before the =>.  There could be any number of
                  // sub-expressions inside also surrounded by parens.
                  begin: FUNC_LEAD_IN_RE,
                  returnBegin: true,
                  end: "\\s*=>",
                  contains: [
                    {
                      className: "params",
                      variants: [
                        {
                          begin: hljs.UNDERSCORE_IDENT_RE,
                          relevance: 0
                        },
                        {
                          className: null,
                          begin: /\(\s*\)/,
                          skip: true
                        },
                        {
                          begin: /(\s*)\(/,
                          end: /\)/,
                          excludeBegin: true,
                          excludeEnd: true,
                          keywords: KEYWORDS$1,
                          contains: PARAMS_CONTAINS
                        }
                      ]
                    }
                  ]
                },
                {
                  // could be a comma delimited list of params to a function call
                  begin: /,/,
                  relevance: 0
                },
                {
                  match: /\s+/,
                  relevance: 0
                },
                {
                  // JSX
                  variants: [
                    { begin: FRAGMENT.begin, end: FRAGMENT.end },
                    { match: XML_SELF_CLOSING },
                    {
                      begin: XML_TAG.begin,
                      // we carefully check the opening tag to see if it truly
                      // is a tag and not a false positive
                      "on:begin": XML_TAG.isTrulyOpeningTag,
                      end: XML_TAG.end
                    }
                  ],
                  subLanguage: "xml",
                  contains: [
                    {
                      begin: XML_TAG.begin,
                      end: XML_TAG.end,
                      skip: true,
                      contains: ["self"]
                    }
                  ]
                }
              ]
            },
            FUNCTION_DEFINITION,
            {
              // prevent this from getting swallowed up by function
              // since they appear "function like"
              beginKeywords: "while if switch catch for"
            },
            {
              // we have to count the parens to make sure we actually have the correct
              // bounding ( ).  There could be any number of sub-expressions inside
              // also surrounded by parens.
              begin: "\\b(?!function)" + hljs.UNDERSCORE_IDENT_RE + "\\([^()]*(\\([^()]*(\\([^()]*\\)[^()]*)*\\)[^()]*)*\\)\\s*\\{",
              // end parens
              returnBegin: true,
              label: "func.def",
              contains: [
                PARAMS,
                hljs.inherit(hljs.TITLE_MODE, { begin: IDENT_RE$1, className: "title.function" })
              ]
            },
            // catch ... so it won't trigger the property rule below
            {
              match: /\.\.\./,
              relevance: 0
            },
            PROPERTY_ACCESS,
            // hack: prevents detection of keywords in some circumstances
            // .keyword()
            // $keyword = x
            {
              match: "\\$" + IDENT_RE$1,
              relevance: 0
            },
            {
              match: [/\bconstructor(?=\s*\()/],
              className: { 1: "title.function" },
              contains: [PARAMS]
            },
            FUNCTION_CALL,
            UPPER_CASE_CONSTANT,
            CLASS_OR_EXTENDS,
            GETTER_OR_SETTER,
            {
              match: /\$[(.]/
              // relevance booster for a pattern common to JS libs: `$(something)` and `$.something`
            }
          ]
        };
      }
      function typescript(hljs) {
        const regex = hljs.regex;
        const tsLanguage = javascript(hljs);
        const IDENT_RE$1 = IDENT_RE;
        const TYPES2 = [
          "any",
          "void",
          "number",
          "boolean",
          "string",
          "object",
          "never",
          "symbol",
          "bigint",
          "unknown"
        ];
        const NAMESPACE = {
          begin: [
            /namespace/,
            /\s+/,
            hljs.IDENT_RE
          ],
          beginScope: {
            1: "keyword",
            3: "title.class"
          }
        };
        const INTERFACE = {
          beginKeywords: "interface",
          end: /\{/,
          excludeEnd: true,
          keywords: {
            keyword: "interface extends",
            built_in: TYPES2
          },
          contains: [tsLanguage.exports.CLASS_REFERENCE]
        };
        const USE_STRICT = {
          className: "meta",
          relevance: 10,
          begin: /^\s*['"]use strict['"]/
        };
        const TS_SPECIFIC_KEYWORDS = [
          "type",
          // "namespace",
          "interface",
          "public",
          "private",
          "protected",
          "implements",
          "declare",
          "abstract",
          "readonly",
          "enum",
          "override",
          "satisfies"
        ];
        const KEYWORDS$1 = {
          $pattern: IDENT_RE,
          keyword: KEYWORDS.concat(TS_SPECIFIC_KEYWORDS),
          literal: LITERALS,
          built_in: BUILT_INS.concat(TYPES2),
          "variable.language": BUILT_IN_VARIABLES
        };
        const DECORATOR = {
          className: "meta",
          begin: "@" + IDENT_RE$1
        };
        const swapMode = (mode, label, replacement) => {
          const indx = mode.contains.findIndex((m2) => m2.label === label);
          if (indx === -1) {
            throw new Error("can not find mode to replace");
          }
          mode.contains.splice(indx, 1, replacement);
        };
        Object.assign(tsLanguage.keywords, KEYWORDS$1);
        tsLanguage.exports.PARAMS_CONTAINS.push(DECORATOR);
        const ATTRIBUTE_HIGHLIGHT = tsLanguage.contains.find((c) => c.scope === "attr");
        const OPTIONAL_KEY_OR_ARGUMENT = Object.assign(
          {},
          ATTRIBUTE_HIGHLIGHT,
          { match: regex.concat(IDENT_RE$1, regex.lookahead(/\s*\?:/)) }
        );
        tsLanguage.exports.PARAMS_CONTAINS.push([
          tsLanguage.exports.CLASS_REFERENCE,
          // class reference for highlighting the params types
          ATTRIBUTE_HIGHLIGHT,
          // highlight the params key
          OPTIONAL_KEY_OR_ARGUMENT
          // Added for optional property assignment highlighting
        ]);
        tsLanguage.contains = tsLanguage.contains.concat([
          DECORATOR,
          NAMESPACE,
          INTERFACE,
          OPTIONAL_KEY_OR_ARGUMENT
          // Added for optional property assignment highlighting
        ]);
        swapMode(tsLanguage, "shebang", hljs.SHEBANG());
        swapMode(tsLanguage, "use_strict", USE_STRICT);
        const functionDeclaration = tsLanguage.contains.find((m2) => m2.label === "func.def");
        functionDeclaration.relevance = 0;
        Object.assign(tsLanguage, {
          name: "TypeScript",
          aliases: [
            "ts",
            "tsx",
            "mts",
            "cts"
          ]
        });
        return tsLanguage;
      }
      module.exports = typescript;
    }
  });

  // node_modules/highlight.js/lib/languages/vala.js
  var require_vala = __commonJS({
    "node_modules/highlight.js/lib/languages/vala.js"(exports, module) {
      function vala(hljs) {
        return {
          name: "Vala",
          keywords: {
            keyword: (
              // Value types
              "char uchar unichar int uint long ulong short ushort int8 int16 int32 int64 uint8 uint16 uint32 uint64 float double bool struct enum string void weak unowned owned async signal static abstract interface override virtual delegate if while do for foreach else switch case break default return try catch public private protected internal using new this get set const stdout stdin stderr var"
            ),
            built_in: "DBus GLib CCode Gee Object Gtk Posix",
            literal: "false true null"
          },
          contains: [
            {
              className: "class",
              beginKeywords: "class interface namespace",
              end: /\{/,
              excludeEnd: true,
              illegal: "[^,:\\n\\s\\.]",
              contains: [hljs.UNDERSCORE_TITLE_MODE]
            },
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            {
              className: "string",
              begin: '"""',
              end: '"""',
              relevance: 5
            },
            hljs.APOS_STRING_MODE,
            hljs.QUOTE_STRING_MODE,
            hljs.C_NUMBER_MODE,
            {
              className: "meta",
              begin: "^#",
              end: "$"
            }
          ]
        };
      }
      module.exports = vala;
    }
  });

  // node_modules/highlight.js/lib/languages/vbnet.js
  var require_vbnet = __commonJS({
    "node_modules/highlight.js/lib/languages/vbnet.js"(exports, module) {
      function vbnet(hljs) {
        const regex = hljs.regex;
        const CHARACTER = {
          className: "string",
          begin: /"(""|[^/n])"C\b/
        };
        const STRING = {
          className: "string",
          begin: /"/,
          end: /"/,
          illegal: /\n/,
          contains: [
            {
              // double quote escape
              begin: /""/
            }
          ]
        };
        const MM_DD_YYYY = /\d{1,2}\/\d{1,2}\/\d{4}/;
        const YYYY_MM_DD = /\d{4}-\d{1,2}-\d{1,2}/;
        const TIME_12H = /(\d|1[012])(:\d+){0,2} *(AM|PM)/;
        const TIME_24H = /\d{1,2}(:\d{1,2}){1,2}/;
        const DATE = {
          className: "literal",
          variants: [
            {
              // #YYYY-MM-DD# (ISO-Date) or #M/D/YYYY# (US-Date)
              begin: regex.concat(/# */, regex.either(YYYY_MM_DD, MM_DD_YYYY), / *#/)
            },
            {
              // #H:mm[:ss]# (24h Time)
              begin: regex.concat(/# */, TIME_24H, / *#/)
            },
            {
              // #h[:mm[:ss]] A# (12h Time)
              begin: regex.concat(/# */, TIME_12H, / *#/)
            },
            {
              // date plus time
              begin: regex.concat(
                /# */,
                regex.either(YYYY_MM_DD, MM_DD_YYYY),
                / +/,
                regex.either(TIME_12H, TIME_24H),
                / *#/
              )
            }
          ]
        };
        const NUMBER = {
          className: "number",
          relevance: 0,
          variants: [
            {
              // Float
              begin: /\b\d[\d_]*((\.[\d_]+(E[+-]?[\d_]+)?)|(E[+-]?[\d_]+))[RFD@!#]?/
            },
            {
              // Integer (base 10)
              begin: /\b\d[\d_]*((U?[SIL])|[%&])?/
            },
            {
              // Integer (base 16)
              begin: /&H[\dA-F_]+((U?[SIL])|[%&])?/
            },
            {
              // Integer (base 8)
              begin: /&O[0-7_]+((U?[SIL])|[%&])?/
            },
            {
              // Integer (base 2)
              begin: /&B[01_]+((U?[SIL])|[%&])?/
            }
          ]
        };
        const LABEL = {
          className: "label",
          begin: /^\w+:/
        };
        const DOC_COMMENT = hljs.COMMENT(/'''/, /$/, { contains: [
          {
            className: "doctag",
            begin: /<\/?/,
            end: />/
          }
        ] });
        const COMMENT = hljs.COMMENT(null, /$/, { variants: [
          { begin: /'/ },
          {
            // TODO: Use multi-class for leading spaces
            begin: /([\t ]|^)REM(?=\s)/
          }
        ] });
        const DIRECTIVES = {
          className: "meta",
          // TODO: Use multi-class for indentation once available
          begin: /[\t ]*#(const|disable|else|elseif|enable|end|externalsource|if|region)\b/,
          end: /$/,
          keywords: { keyword: "const disable else elseif enable end externalsource if region then" },
          contains: [COMMENT]
        };
        return {
          name: "Visual Basic .NET",
          aliases: ["vb"],
          case_insensitive: true,
          classNameAliases: { label: "symbol" },
          keywords: {
            keyword: "addhandler alias aggregate ansi as async assembly auto binary by byref byval call case catch class compare const continue custom declare default delegate dim distinct do each equals else elseif end enum erase error event exit explicit finally for friend from function get global goto group handles if implements imports in inherits interface into iterator join key let lib loop me mid module mustinherit mustoverride mybase myclass namespace narrowing new next notinheritable notoverridable of off on operator option optional order overloads overridable overrides paramarray partial preserve private property protected public raiseevent readonly redim removehandler resume return select set shadows shared skip static step stop structure strict sub synclock take text then throw to try unicode until using when where while widening with withevents writeonly yield",
            built_in: (
              // Operators https://docs.microsoft.com/dotnet/visual-basic/language-reference/operators
              "addressof and andalso await directcast gettype getxmlnamespace is isfalse isnot istrue like mod nameof new not or orelse trycast typeof xor cbool cbyte cchar cdate cdbl cdec cint clng cobj csbyte cshort csng cstr cuint culng cushort"
            ),
            type: (
              // Data types https://docs.microsoft.com/dotnet/visual-basic/language-reference/data-types
              "boolean byte char date decimal double integer long object sbyte short single string uinteger ulong ushort"
            ),
            literal: "true false nothing"
          },
          illegal: "//|\\{|\\}|endif|gosub|variant|wend|^\\$ ",
          contains: [
            CHARACTER,
            STRING,
            DATE,
            NUMBER,
            LABEL,
            DOC_COMMENT,
            COMMENT,
            DIRECTIVES
          ]
        };
      }
      module.exports = vbnet;
    }
  });

  // node_modules/highlight.js/lib/languages/vbscript.js
  var require_vbscript = __commonJS({
    "node_modules/highlight.js/lib/languages/vbscript.js"(exports, module) {
      function vbscript(hljs) {
        const regex = hljs.regex;
        const BUILT_IN_FUNCTIONS = [
          "lcase",
          "month",
          "vartype",
          "instrrev",
          "ubound",
          "setlocale",
          "getobject",
          "rgb",
          "getref",
          "string",
          "weekdayname",
          "rnd",
          "dateadd",
          "monthname",
          "now",
          "day",
          "minute",
          "isarray",
          "cbool",
          "round",
          "formatcurrency",
          "conversions",
          "csng",
          "timevalue",
          "second",
          "year",
          "space",
          "abs",
          "clng",
          "timeserial",
          "fixs",
          "len",
          "asc",
          "isempty",
          "maths",
          "dateserial",
          "atn",
          "timer",
          "isobject",
          "filter",
          "weekday",
          "datevalue",
          "ccur",
          "isdate",
          "instr",
          "datediff",
          "formatdatetime",
          "replace",
          "isnull",
          "right",
          "sgn",
          "array",
          "snumeric",
          "log",
          "cdbl",
          "hex",
          "chr",
          "lbound",
          "msgbox",
          "ucase",
          "getlocale",
          "cos",
          "cdate",
          "cbyte",
          "rtrim",
          "join",
          "hour",
          "oct",
          "typename",
          "trim",
          "strcomp",
          "int",
          "createobject",
          "loadpicture",
          "tan",
          "formatnumber",
          "mid",
          "split",
          "cint",
          "sin",
          "datepart",
          "ltrim",
          "sqr",
          "time",
          "derived",
          "eval",
          "date",
          "formatpercent",
          "exp",
          "inputbox",
          "left",
          "ascw",
          "chrw",
          "regexp",
          "cstr",
          "err"
        ];
        const BUILT_IN_OBJECTS = [
          "server",
          "response",
          "request",
          // take no arguments so can be called without ()
          "scriptengine",
          "scriptenginebuildversion",
          "scriptengineminorversion",
          "scriptenginemajorversion"
        ];
        const BUILT_IN_CALL = {
          begin: regex.concat(regex.either(...BUILT_IN_FUNCTIONS), "\\s*\\("),
          // relevance 0 because this is acting as a beginKeywords really
          relevance: 0,
          keywords: { built_in: BUILT_IN_FUNCTIONS }
        };
        const LITERALS = [
          "true",
          "false",
          "null",
          "nothing",
          "empty"
        ];
        const KEYWORDS = [
          "call",
          "class",
          "const",
          "dim",
          "do",
          "loop",
          "erase",
          "execute",
          "executeglobal",
          "exit",
          "for",
          "each",
          "next",
          "function",
          "if",
          "then",
          "else",
          "on",
          "error",
          "option",
          "explicit",
          "new",
          "private",
          "property",
          "let",
          "get",
          "public",
          "randomize",
          "redim",
          "rem",
          "select",
          "case",
          "set",
          "stop",
          "sub",
          "while",
          "wend",
          "with",
          "end",
          "to",
          "elseif",
          "is",
          "or",
          "xor",
          "and",
          "not",
          "class_initialize",
          "class_terminate",
          "default",
          "preserve",
          "in",
          "me",
          "byval",
          "byref",
          "step",
          "resume",
          "goto"
        ];
        return {
          name: "VBScript",
          aliases: ["vbs"],
          case_insensitive: true,
          keywords: {
            keyword: KEYWORDS,
            built_in: BUILT_IN_OBJECTS,
            literal: LITERALS
          },
          illegal: "//",
          contains: [
            BUILT_IN_CALL,
            hljs.inherit(hljs.QUOTE_STRING_MODE, { contains: [{ begin: '""' }] }),
            hljs.COMMENT(
              /'/,
              /$/,
              { relevance: 0 }
            ),
            hljs.C_NUMBER_MODE
          ]
        };
      }
      module.exports = vbscript;
    }
  });

  // node_modules/highlight.js/lib/languages/vbscript-html.js
  var require_vbscript_html = __commonJS({
    "node_modules/highlight.js/lib/languages/vbscript-html.js"(exports, module) {
      function vbscriptHtml(hljs) {
        return {
          name: "VBScript in HTML",
          subLanguage: "xml",
          contains: [
            {
              begin: "<%",
              end: "%>",
              subLanguage: "vbscript"
            }
          ]
        };
      }
      module.exports = vbscriptHtml;
    }
  });

  // node_modules/highlight.js/lib/languages/verilog.js
  var require_verilog = __commonJS({
    "node_modules/highlight.js/lib/languages/verilog.js"(exports, module) {
      function verilog(hljs) {
        const regex = hljs.regex;
        const KEYWORDS = {
          $pattern: /\$?[\w]+(\$[\w]+)*/,
          keyword: [
            "accept_on",
            "alias",
            "always",
            "always_comb",
            "always_ff",
            "always_latch",
            "and",
            "assert",
            "assign",
            "assume",
            "automatic",
            "before",
            "begin",
            "bind",
            "bins",
            "binsof",
            "bit",
            "break",
            "buf|0",
            "bufif0",
            "bufif1",
            "byte",
            "case",
            "casex",
            "casez",
            "cell",
            "chandle",
            "checker",
            "class",
            "clocking",
            "cmos",
            "config",
            "const",
            "constraint",
            "context",
            "continue",
            "cover",
            "covergroup",
            "coverpoint",
            "cross",
            "deassign",
            "default",
            "defparam",
            "design",
            "disable",
            "dist",
            "do",
            "edge",
            "else",
            "end",
            "endcase",
            "endchecker",
            "endclass",
            "endclocking",
            "endconfig",
            "endfunction",
            "endgenerate",
            "endgroup",
            "endinterface",
            "endmodule",
            "endpackage",
            "endprimitive",
            "endprogram",
            "endproperty",
            "endspecify",
            "endsequence",
            "endtable",
            "endtask",
            "enum",
            "event",
            "eventually",
            "expect",
            "export",
            "extends",
            "extern",
            "final",
            "first_match",
            "for",
            "force",
            "foreach",
            "forever",
            "fork",
            "forkjoin",
            "function",
            "generate|5",
            "genvar",
            "global",
            "highz0",
            "highz1",
            "if",
            "iff",
            "ifnone",
            "ignore_bins",
            "illegal_bins",
            "implements",
            "implies",
            "import",
            "incdir",
            "include",
            "initial",
            "inout",
            "input",
            "inside",
            "instance",
            "int",
            "integer",
            "interconnect",
            "interface",
            "intersect",
            "join",
            "join_any",
            "join_none",
            "large",
            "let",
            "liblist",
            "library",
            "local",
            "localparam",
            "logic",
            "longint",
            "macromodule",
            "matches",
            "medium",
            "modport",
            "module",
            "nand",
            "negedge",
            "nettype",
            "new",
            "nexttime",
            "nmos",
            "nor",
            "noshowcancelled",
            "not",
            "notif0",
            "notif1",
            "or",
            "output",
            "package",
            "packed",
            "parameter",
            "pmos",
            "posedge",
            "primitive",
            "priority",
            "program",
            "property",
            "protected",
            "pull0",
            "pull1",
            "pulldown",
            "pullup",
            "pulsestyle_ondetect",
            "pulsestyle_onevent",
            "pure",
            "rand",
            "randc",
            "randcase",
            "randsequence",
            "rcmos",
            "real",
            "realtime",
            "ref",
            "reg",
            "reject_on",
            "release",
            "repeat",
            "restrict",
            "return",
            "rnmos",
            "rpmos",
            "rtran",
            "rtranif0",
            "rtranif1",
            "s_always",
            "s_eventually",
            "s_nexttime",
            "s_until",
            "s_until_with",
            "scalared",
            "sequence",
            "shortint",
            "shortreal",
            "showcancelled",
            "signed",
            "small",
            "soft",
            "solve",
            "specify",
            "specparam",
            "static",
            "string",
            "strong",
            "strong0",
            "strong1",
            "struct",
            "super",
            "supply0",
            "supply1",
            "sync_accept_on",
            "sync_reject_on",
            "table",
            "tagged",
            "task",
            "this",
            "throughout",
            "time",
            "timeprecision",
            "timeunit",
            "tran",
            "tranif0",
            "tranif1",
            "tri",
            "tri0",
            "tri1",
            "triand",
            "trior",
            "trireg",
            "type",
            "typedef",
            "union",
            "unique",
            "unique0",
            "unsigned",
            "until",
            "until_with",
            "untyped",
            "use",
            "uwire",
            "var",
            "vectored",
            "virtual",
            "void",
            "wait",
            "wait_order",
            "wand",
            "weak",
            "weak0",
            "weak1",
            "while",
            "wildcard",
            "wire",
            "with",
            "within",
            "wor",
            "xnor",
            "xor"
          ],
          literal: ["null"],
          built_in: [
            "$finish",
            "$stop",
            "$exit",
            "$fatal",
            "$error",
            "$warning",
            "$info",
            "$realtime",
            "$time",
            "$printtimescale",
            "$bitstoreal",
            "$bitstoshortreal",
            "$itor",
            "$signed",
            "$cast",
            "$bits",
            "$stime",
            "$timeformat",
            "$realtobits",
            "$shortrealtobits",
            "$rtoi",
            "$unsigned",
            "$asserton",
            "$assertkill",
            "$assertpasson",
            "$assertfailon",
            "$assertnonvacuouson",
            "$assertoff",
            "$assertcontrol",
            "$assertpassoff",
            "$assertfailoff",
            "$assertvacuousoff",
            "$isunbounded",
            "$sampled",
            "$fell",
            "$changed",
            "$past_gclk",
            "$fell_gclk",
            "$changed_gclk",
            "$rising_gclk",
            "$steady_gclk",
            "$coverage_control",
            "$coverage_get",
            "$coverage_save",
            "$set_coverage_db_name",
            "$rose",
            "$stable",
            "$past",
            "$rose_gclk",
            "$stable_gclk",
            "$future_gclk",
            "$falling_gclk",
            "$changing_gclk",
            "$display",
            "$coverage_get_max",
            "$coverage_merge",
            "$get_coverage",
            "$load_coverage_db",
            "$typename",
            "$unpacked_dimensions",
            "$left",
            "$low",
            "$increment",
            "$clog2",
            "$ln",
            "$log10",
            "$exp",
            "$sqrt",
            "$pow",
            "$floor",
            "$ceil",
            "$sin",
            "$cos",
            "$tan",
            "$countbits",
            "$onehot",
            "$isunknown",
            "$fatal",
            "$warning",
            "$dimensions",
            "$right",
            "$high",
            "$size",
            "$asin",
            "$acos",
            "$atan",
            "$atan2",
            "$hypot",
            "$sinh",
            "$cosh",
            "$tanh",
            "$asinh",
            "$acosh",
            "$atanh",
            "$countones",
            "$onehot0",
            "$error",
            "$info",
            "$random",
            "$dist_chi_square",
            "$dist_erlang",
            "$dist_exponential",
            "$dist_normal",
            "$dist_poisson",
            "$dist_t",
            "$dist_uniform",
            "$q_initialize",
            "$q_remove",
            "$q_exam",
            "$async$and$array",
            "$async$nand$array",
            "$async$or$array",
            "$async$nor$array",
            "$sync$and$array",
            "$sync$nand$array",
            "$sync$or$array",
            "$sync$nor$array",
            "$q_add",
            "$q_full",
            "$psprintf",
            "$async$and$plane",
            "$async$nand$plane",
            "$async$or$plane",
            "$async$nor$plane",
            "$sync$and$plane",
            "$sync$nand$plane",
            "$sync$or$plane",
            "$sync$nor$plane",
            "$system",
            "$display",
            "$displayb",
            "$displayh",
            "$displayo",
            "$strobe",
            "$strobeb",
            "$strobeh",
            "$strobeo",
            "$write",
            "$readmemb",
            "$readmemh",
            "$writememh",
            "$value$plusargs",
            "$dumpvars",
            "$dumpon",
            "$dumplimit",
            "$dumpports",
            "$dumpportson",
            "$dumpportslimit",
            "$writeb",
            "$writeh",
            "$writeo",
            "$monitor",
            "$monitorb",
            "$monitorh",
            "$monitoro",
            "$writememb",
            "$dumpfile",
            "$dumpoff",
            "$dumpall",
            "$dumpflush",
            "$dumpportsoff",
            "$dumpportsall",
            "$dumpportsflush",
            "$fclose",
            "$fdisplay",
            "$fdisplayb",
            "$fdisplayh",
            "$fdisplayo",
            "$fstrobe",
            "$fstrobeb",
            "$fstrobeh",
            "$fstrobeo",
            "$swrite",
            "$swriteb",
            "$swriteh",
            "$swriteo",
            "$fscanf",
            "$fread",
            "$fseek",
            "$fflush",
            "$feof",
            "$fopen",
            "$fwrite",
            "$fwriteb",
            "$fwriteh",
            "$fwriteo",
            "$fmonitor",
            "$fmonitorb",
            "$fmonitorh",
            "$fmonitoro",
            "$sformat",
            "$sformatf",
            "$fgetc",
            "$ungetc",
            "$fgets",
            "$sscanf",
            "$rewind",
            "$ftell",
            "$ferror"
          ]
        };
        const BUILT_IN_CONSTANTS = [
          "__FILE__",
          "__LINE__"
        ];
        const DIRECTIVES = [
          "begin_keywords",
          "celldefine",
          "default_nettype",
          "default_decay_time",
          "default_trireg_strength",
          "define",
          "delay_mode_distributed",
          "delay_mode_path",
          "delay_mode_unit",
          "delay_mode_zero",
          "else",
          "elsif",
          "end_keywords",
          "endcelldefine",
          "endif",
          "ifdef",
          "ifndef",
          "include",
          "line",
          "nounconnected_drive",
          "pragma",
          "resetall",
          "timescale",
          "unconnected_drive",
          "undef",
          "undefineall"
        ];
        return {
          name: "Verilog",
          aliases: [
            "v",
            "sv",
            "svh"
          ],
          case_insensitive: false,
          keywords: KEYWORDS,
          contains: [
            hljs.C_BLOCK_COMMENT_MODE,
            hljs.C_LINE_COMMENT_MODE,
            hljs.QUOTE_STRING_MODE,
            {
              scope: "number",
              contains: [hljs.BACKSLASH_ESCAPE],
              variants: [
                { begin: /\b((\d+'([bhodBHOD]))[0-9xzXZa-fA-F_]+)/ },
                { begin: /\B(('([bhodBHOD]))[0-9xzXZa-fA-F_]+)/ },
                {
                  // decimal
                  begin: /\b[0-9][0-9_]*/,
                  relevance: 0
                }
              ]
            },
            /* parameters to instances */
            {
              scope: "variable",
              variants: [
                { begin: "#\\((?!parameter).+\\)" },
                {
                  begin: "\\.\\w+",
                  relevance: 0
                }
              ]
            },
            {
              scope: "variable.constant",
              match: regex.concat(/`/, regex.either(...BUILT_IN_CONSTANTS))
            },
            {
              scope: "meta",
              begin: regex.concat(/`/, regex.either(...DIRECTIVES)),
              end: /$|\/\/|\/\*/,
              returnEnd: true,
              keywords: DIRECTIVES
            }
          ]
        };
      }
      module.exports = verilog;
    }
  });

  // node_modules/highlight.js/lib/languages/vhdl.js
  var require_vhdl = __commonJS({
    "node_modules/highlight.js/lib/languages/vhdl.js"(exports, module) {
      function vhdl(hljs) {
        const INTEGER_RE = "\\d(_|\\d)*";
        const EXPONENT_RE = "[eE][-+]?" + INTEGER_RE;
        const DECIMAL_LITERAL_RE = INTEGER_RE + "(\\." + INTEGER_RE + ")?(" + EXPONENT_RE + ")?";
        const BASED_INTEGER_RE = "\\w+";
        const BASED_LITERAL_RE = INTEGER_RE + "#" + BASED_INTEGER_RE + "(\\." + BASED_INTEGER_RE + ")?#(" + EXPONENT_RE + ")?";
        const NUMBER_RE = "\\b(" + BASED_LITERAL_RE + "|" + DECIMAL_LITERAL_RE + ")";
        const KEYWORDS = [
          "abs",
          "access",
          "after",
          "alias",
          "all",
          "and",
          "architecture",
          "array",
          "assert",
          "assume",
          "assume_guarantee",
          "attribute",
          "begin",
          "block",
          "body",
          "buffer",
          "bus",
          "case",
          "component",
          "configuration",
          "constant",
          "context",
          "cover",
          "disconnect",
          "downto",
          "default",
          "else",
          "elsif",
          "end",
          "entity",
          "exit",
          "fairness",
          "file",
          "for",
          "force",
          "function",
          "generate",
          "generic",
          "group",
          "guarded",
          "if",
          "impure",
          "in",
          "inertial",
          "inout",
          "is",
          "label",
          "library",
          "linkage",
          "literal",
          "loop",
          "map",
          "mod",
          "nand",
          "new",
          "next",
          "nor",
          "not",
          "null",
          "of",
          "on",
          "open",
          "or",
          "others",
          "out",
          "package",
          "parameter",
          "port",
          "postponed",
          "procedure",
          "process",
          "property",
          "protected",
          "pure",
          "range",
          "record",
          "register",
          "reject",
          "release",
          "rem",
          "report",
          "restrict",
          "restrict_guarantee",
          "return",
          "rol",
          "ror",
          "select",
          "sequence",
          "severity",
          "shared",
          "signal",
          "sla",
          "sll",
          "sra",
          "srl",
          "strong",
          "subtype",
          "then",
          "to",
          "transport",
          "type",
          "unaffected",
          "units",
          "until",
          "use",
          "variable",
          "view",
          "vmode",
          "vprop",
          "vunit",
          "wait",
          "when",
          "while",
          "with",
          "xnor",
          "xor"
        ];
        const BUILT_INS = [
          "boolean",
          "bit",
          "character",
          "integer",
          "time",
          "delay_length",
          "natural",
          "positive",
          "string",
          "bit_vector",
          "file_open_kind",
          "file_open_status",
          "std_logic",
          "std_logic_vector",
          "unsigned",
          "signed",
          "boolean_vector",
          "integer_vector",
          "std_ulogic",
          "std_ulogic_vector",
          "unresolved_unsigned",
          "u_unsigned",
          "unresolved_signed",
          "u_signed",
          "real_vector",
          "time_vector"
        ];
        const LITERALS = [
          // severity_level
          "false",
          "true",
          "note",
          "warning",
          "error",
          "failure",
          // textio
          "line",
          "text",
          "side",
          "width"
        ];
        return {
          name: "VHDL",
          case_insensitive: true,
          keywords: {
            keyword: KEYWORDS,
            built_in: BUILT_INS,
            literal: LITERALS
          },
          illegal: /\{/,
          contains: [
            hljs.C_BLOCK_COMMENT_MODE,
            // VHDL-2008 block commenting.
            hljs.COMMENT("--", "$"),
            hljs.QUOTE_STRING_MODE,
            {
              className: "number",
              begin: NUMBER_RE,
              relevance: 0
            },
            {
              className: "string",
              begin: "'(U|X|0|1|Z|W|L|H|-)'",
              contains: [hljs.BACKSLASH_ESCAPE]
            },
            {
              className: "symbol",
              begin: "'[A-Za-z](_?[A-Za-z0-9])*",
              contains: [hljs.BACKSLASH_ESCAPE]
            }
          ]
        };
      }
      module.exports = vhdl;
    }
  });

  // node_modules/highlight.js/lib/languages/vim.js
  var require_vim = __commonJS({
    "node_modules/highlight.js/lib/languages/vim.js"(exports, module) {
      function vim(hljs) {
        return {
          name: "Vim Script",
          keywords: {
            $pattern: /[!#@\w]+/,
            keyword: (
              // express version except: ! & * < = > !! # @ @@
              "N|0 P|0 X|0 a|0 ab abc abo al am an|0 ar arga argd arge argdo argg argl argu as au aug aun b|0 bN ba bad bd be bel bf bl bm bn bo bp br brea breaka breakd breakl bro bufdo buffers bun bw c|0 cN cNf ca cabc caddb cad caddf cal cat cb cc ccl cd ce cex cf cfir cgetb cgete cg changes chd che checkt cl cla clo cm cmapc cme cn cnew cnf cno cnorea cnoreme co col colo com comc comp con conf cope cp cpf cq cr cs cst cu cuna cunme cw delm deb debugg delc delf dif diffg diffo diffp diffpu diffs diffthis dig di dl dell dj dli do doautoa dp dr ds dsp e|0 ea ec echoe echoh echom echon el elsei em en endfo endf endt endw ene ex exe exi exu f|0 files filet fin fina fini fir fix fo foldc foldd folddoc foldo for fu go gr grepa gu gv ha helpf helpg helpt hi hid his ia iabc if ij il im imapc ime ino inorea inoreme int is isp iu iuna iunme j|0 ju k|0 keepa kee keepj lN lNf l|0 lad laddb laddf la lan lat lb lc lch lcl lcs le lefta let lex lf lfir lgetb lgete lg lgr lgrepa lh ll lla lli lmak lm lmapc lne lnew lnf ln loadk lo loc lockv lol lope lp lpf lr ls lt lu lua luad luaf lv lvimgrepa lw m|0 ma mak map mapc marks mat me menut mes mk mks mksp mkv mkvie mod mz mzf nbc nb nbs new nm nmapc nme nn nnoreme noa no noh norea noreme norm nu nun nunme ol o|0 om omapc ome on ono onoreme opt ou ounme ow p|0 profd prof pro promptr pc ped pe perld po popu pp pre prev ps pt ptN ptf ptj ptl ptn ptp ptr pts pu pw py3 python3 py3d py3f py pyd pyf quita qa rec red redi redr redraws reg res ret retu rew ri rightb rub rubyd rubyf rund ru rv sN san sa sal sav sb sbN sba sbf sbl sbm sbn sbp sbr scrip scripte scs se setf setg setl sf sfir sh sim sig sil sl sla sm smap smapc sme sn sni sno snor snoreme sor so spelld spe spelli spellr spellu spellw sp spr sre st sta startg startr star stopi stj sts sun sunm sunme sus sv sw sy synti sync tN tabN tabc tabdo tabe tabf tabfir tabl tabm tabnew tabn tabo tabp tabr tabs tab ta tags tc tcld tclf te tf th tj tl tm tn to tp tr try ts tu u|0 undoj undol una unh unl unlo unm unme uns up ve verb vert vim vimgrepa vi viu vie vm vmapc vme vne vn vnoreme vs vu vunme windo w|0 wN wa wh wi winc winp wn wp wq wqa ws wu wv x|0 xa xmapc xm xme xn xnoreme xu xunme y|0 z|0 ~ Next Print append abbreviate abclear aboveleft all amenu anoremenu args argadd argdelete argedit argglobal arglocal argument ascii autocmd augroup aunmenu buffer bNext ball badd bdelete behave belowright bfirst blast bmodified bnext botright bprevious brewind break breakadd breakdel breaklist browse bunload bwipeout change cNext cNfile cabbrev cabclear caddbuffer caddexpr caddfile call catch cbuffer cclose center cexpr cfile cfirst cgetbuffer cgetexpr cgetfile chdir checkpath checktime clist clast close cmap cmapclear cmenu cnext cnewer cnfile cnoremap cnoreabbrev cnoremenu copy colder colorscheme command comclear compiler continue confirm copen cprevious cpfile cquit crewind cscope cstag cunmap cunabbrev cunmenu cwindow delete delmarks debug debuggreedy delcommand delfunction diffupdate diffget diffoff diffpatch diffput diffsplit digraphs display deletel djump dlist doautocmd doautoall deletep drop dsearch dsplit edit earlier echo echoerr echohl echomsg else elseif emenu endif endfor endfunction endtry endwhile enew execute exit exusage file filetype find finally finish first fixdel fold foldclose folddoopen folddoclosed foldopen function global goto grep grepadd gui gvim hardcopy help helpfind helpgrep helptags highlight hide history insert iabbrev iabclear ijump ilist imap imapclear imenu inoremap inoreabbrev inoremenu intro isearch isplit iunmap iunabbrev iunmenu join jumps keepalt keepmarks keepjumps lNext lNfile list laddexpr laddbuffer laddfile last language later lbuffer lcd lchdir lclose lcscope left leftabove lexpr lfile lfirst lgetbuffer lgetexpr lgetfile lgrep lgrepadd lhelpgrep llast llist lmake lmap lmapclear lnext lnewer lnfile lnoremap loadkeymap loadview lockmarks lockvar lolder lopen lprevious lpfile lrewind ltag lunmap luado luafile lvimgrep lvimgrepadd lwindow move mark make mapclear match menu menutranslate messages mkexrc mksession mkspell mkvimrc mkview mode mzscheme mzfile nbclose nbkey nbsart next nmap nmapclear nmenu nnoremap nnoremenu noautocmd noremap nohlsearch noreabbrev noremenu normal number nunmap nunmenu oldfiles open omap omapclear omenu only onoremap onoremenu options ounmap ounmenu ownsyntax print profdel profile promptfind promptrepl pclose pedit perl perldo pop popup ppop preserve previous psearch ptag ptNext ptfirst ptjump ptlast ptnext ptprevious ptrewind ptselect put pwd py3do py3file python pydo pyfile quit quitall qall read recover redo redir redraw redrawstatus registers resize retab return rewind right rightbelow ruby rubydo rubyfile rundo runtime rviminfo substitute sNext sandbox sargument sall saveas sbuffer sbNext sball sbfirst sblast sbmodified sbnext sbprevious sbrewind scriptnames scriptencoding scscope set setfiletype setglobal setlocal sfind sfirst shell simalt sign silent sleep slast smagic smapclear smenu snext sniff snomagic snoremap snoremenu sort source spelldump spellgood spellinfo spellrepall spellundo spellwrong split sprevious srewind stop stag startgreplace startreplace startinsert stopinsert stjump stselect sunhide sunmap sunmenu suspend sview swapname syntax syntime syncbind tNext tabNext tabclose tabedit tabfind tabfirst tablast tabmove tabnext tabonly tabprevious tabrewind tag tcl tcldo tclfile tearoff tfirst throw tjump tlast tmenu tnext topleft tprevious trewind tselect tunmenu undo undojoin undolist unabbreviate unhide unlet unlockvar unmap unmenu unsilent update vglobal version verbose vertical vimgrep vimgrepadd visual viusage view vmap vmapclear vmenu vnew vnoremap vnoremenu vsplit vunmap vunmenu write wNext wall while winsize wincmd winpos wnext wprevious wqall wsverb wundo wviminfo xit xall xmapclear xmap xmenu xnoremap xnoremenu xunmap xunmenu yank"
            ),
            built_in: (
              // built in func
              "synIDtrans atan2 range matcharg did_filetype asin feedkeys xor argv complete_check add getwinposx getqflist getwinposy screencol clearmatches empty extend getcmdpos mzeval garbagecollect setreg ceil sqrt diff_hlID inputsecret get getfperm getpid filewritable shiftwidth max sinh isdirectory synID system inputrestore winline atan visualmode inputlist tabpagewinnr round getregtype mapcheck hasmapto histdel argidx findfile sha256 exists toupper getcmdline taglist string getmatches bufnr strftime winwidth bufexists strtrans tabpagebuflist setcmdpos remote_read printf setloclist getpos getline bufwinnr float2nr len getcmdtype diff_filler luaeval resolve libcallnr foldclosedend reverse filter has_key bufname str2float strlen setline getcharmod setbufvar index searchpos shellescape undofile foldclosed setqflist buflisted strchars str2nr virtcol floor remove undotree remote_expr winheight gettabwinvar reltime cursor tabpagenr finddir localtime acos getloclist search tanh matchend rename gettabvar strdisplaywidth type abs py3eval setwinvar tolower wildmenumode log10 spellsuggest bufloaded synconcealed nextnonblank server2client complete settabwinvar executable input wincol setmatches getftype hlID inputsave searchpair or screenrow line settabvar histadd deepcopy strpart remote_peek and eval getftime submatch screenchar winsaveview matchadd mkdir screenattr getfontname libcall reltimestr getfsize winnr invert pow getbufline byte2line soundfold repeat fnameescape tagfiles sin strwidth spellbadword trunc maparg log lispindent hostname setpos globpath remote_foreground getchar synIDattr fnamemodify cscope_connection stridx winbufnr indent min complete_add nr2char searchpairpos inputdialog values matchlist items hlexists strridx browsedir expand fmod pathshorten line2byte argc count getwinvar glob foldtextresult getreg foreground cosh matchdelete has char2nr simplify histget searchdecl iconv winrestcmd pumvisible writefile foldlevel haslocaldir keys cos matchstr foldtext histnr tan tempname getcwd byteidx getbufvar islocked escape eventhandler remote_send serverlist winrestview synstack pyeval prevnonblank readfile cindent filereadable changenr exp"
            )
          },
          illegal: /;/,
          contains: [
            hljs.NUMBER_MODE,
            {
              className: "string",
              begin: "'",
              end: "'",
              illegal: "\\n"
            },
            /*
                  A double quote can start either a string or a line comment. Strings are
                  ended before the end of a line by another double quote and can contain
                  escaped double-quotes and post-escaped line breaks.

                  Also, any double quote at the beginning of a line is a comment but we
                  don't handle that properly at the moment: any double quote inside will
                  turn them into a string. Handling it properly will require a smarter
                  parser.
                  */
            {
              className: "string",
              begin: /"(\\"|\n\\|[^"\n])*"/
            },
            hljs.COMMENT('"', "$"),
            {
              className: "variable",
              begin: /[bwtglsav]:[\w\d_]+/
            },
            {
              begin: [
                /\b(?:function|function!)/,
                /\s+/,
                hljs.IDENT_RE
              ],
              className: {
                1: "keyword",
                3: "title"
              },
              end: "$",
              relevance: 0,
              contains: [
                {
                  className: "params",
                  begin: "\\(",
                  end: "\\)"
                }
              ]
            },
            {
              className: "symbol",
              begin: /<[\w-]+>/
            }
          ]
        };
      }
      module.exports = vim;
    }
  });

  // node_modules/highlight.js/lib/languages/wasm.js
  var require_wasm = __commonJS({
    "node_modules/highlight.js/lib/languages/wasm.js"(exports, module) {
      function wasm(hljs) {
        hljs.regex;
        const BLOCK_COMMENT = hljs.COMMENT(/\(;/, /;\)/);
        BLOCK_COMMENT.contains.push("self");
        const LINE_COMMENT = hljs.COMMENT(/;;/, /$/);
        const KWS = [
          "anyfunc",
          "block",
          "br",
          "br_if",
          "br_table",
          "call",
          "call_indirect",
          "data",
          "drop",
          "elem",
          "else",
          "end",
          "export",
          "func",
          "global.get",
          "global.set",
          "local.get",
          "local.set",
          "local.tee",
          "get_global",
          "get_local",
          "global",
          "if",
          "import",
          "local",
          "loop",
          "memory",
          "memory.grow",
          "memory.size",
          "module",
          "mut",
          "nop",
          "offset",
          "param",
          "result",
          "return",
          "select",
          "set_global",
          "set_local",
          "start",
          "table",
          "tee_local",
          "then",
          "type",
          "unreachable"
        ];
        const FUNCTION_REFERENCE = {
          begin: [
            /(?:func|call|call_indirect)/,
            /\s+/,
            /\$[^\s)]+/
          ],
          className: {
            1: "keyword",
            3: "title.function"
          }
        };
        const ARGUMENT = {
          className: "variable",
          begin: /\$[\w_]+/
        };
        const PARENS = {
          match: /(\((?!;)|\))+/,
          className: "punctuation",
          relevance: 0
        };
        const NUMBER = {
          className: "number",
          relevance: 0,
          // borrowed from Prism, TODO: split out into variants
          match: /[+-]?\b(?:\d(?:_?\d)*(?:\.\d(?:_?\d)*)?(?:[eE][+-]?\d(?:_?\d)*)?|0x[\da-fA-F](?:_?[\da-fA-F])*(?:\.[\da-fA-F](?:_?[\da-fA-D])*)?(?:[pP][+-]?\d(?:_?\d)*)?)\b|\binf\b|\bnan(?::0x[\da-fA-F](?:_?[\da-fA-D])*)?\b/
        };
        const TYPE = {
          // look-ahead prevents us from gobbling up opcodes
          match: /(i32|i64|f32|f64)(?!\.)/,
          className: "type"
        };
        const MATH_OPERATIONS = {
          className: "keyword",
          // borrowed from Prism, TODO: split out into variants
          match: /\b(f32|f64|i32|i64)(?:\.(?:abs|add|and|ceil|clz|const|convert_[su]\/i(?:32|64)|copysign|ctz|demote\/f64|div(?:_[su])?|eqz?|extend_[su]\/i32|floor|ge(?:_[su])?|gt(?:_[su])?|le(?:_[su])?|load(?:(?:8|16|32)_[su])?|lt(?:_[su])?|max|min|mul|nearest|neg?|or|popcnt|promote\/f32|reinterpret\/[fi](?:32|64)|rem_[su]|rot[lr]|shl|shr_[su]|store(?:8|16|32)?|sqrt|sub|trunc(?:_[su]\/f(?:32|64))?|wrap\/i64|xor))\b/
        };
        const OFFSET_ALIGN = {
          match: [
            /(?:offset|align)/,
            /\s*/,
            /=/
          ],
          className: {
            1: "keyword",
            3: "operator"
          }
        };
        return {
          name: "WebAssembly",
          keywords: {
            $pattern: /[\w.]+/,
            keyword: KWS
          },
          contains: [
            LINE_COMMENT,
            BLOCK_COMMENT,
            OFFSET_ALIGN,
            ARGUMENT,
            PARENS,
            FUNCTION_REFERENCE,
            hljs.QUOTE_STRING_MODE,
            TYPE,
            MATH_OPERATIONS,
            NUMBER
          ]
        };
      }
      module.exports = wasm;
    }
  });

  // node_modules/highlight.js/lib/languages/wren.js
  var require_wren = __commonJS({
    "node_modules/highlight.js/lib/languages/wren.js"(exports, module) {
      function wren(hljs) {
        const regex = hljs.regex;
        const IDENT_RE = /[a-zA-Z]\w*/;
        const KEYWORDS = [
          "as",
          "break",
          "class",
          "construct",
          "continue",
          "else",
          "for",
          "foreign",
          "if",
          "import",
          "in",
          "is",
          "return",
          "static",
          "var",
          "while"
        ];
        const LITERALS = [
          "true",
          "false",
          "null"
        ];
        const LANGUAGE_VARS = [
          "this",
          "super"
        ];
        const CORE_CLASSES = [
          "Bool",
          "Class",
          "Fiber",
          "Fn",
          "List",
          "Map",
          "Null",
          "Num",
          "Object",
          "Range",
          "Sequence",
          "String",
          "System"
        ];
        const OPERATORS = [
          "-",
          "~",
          /\*/,
          "%",
          /\.\.\./,
          /\.\./,
          /\+/,
          "<<",
          ">>",
          ">=",
          "<=",
          "<",
          ">",
          /\^/,
          /!=/,
          /!/,
          /\bis\b/,
          "==",
          "&&",
          "&",
          /\|\|/,
          /\|/,
          /\?:/,
          "="
        ];
        const FUNCTION = {
          relevance: 0,
          match: regex.concat(/\b(?!(if|while|for|else|super)\b)/, IDENT_RE, /(?=\s*[({])/),
          className: "title.function"
        };
        const FUNCTION_DEFINITION = {
          match: regex.concat(
            regex.either(
              regex.concat(/\b(?!(if|while|for|else|super)\b)/, IDENT_RE),
              regex.either(...OPERATORS)
            ),
            /(?=\s*\([^)]+\)\s*\{)/
          ),
          className: "title.function",
          starts: { contains: [
            {
              begin: /\(/,
              end: /\)/,
              contains: [
                {
                  relevance: 0,
                  scope: "params",
                  match: IDENT_RE
                }
              ]
            }
          ] }
        };
        const CLASS_DEFINITION = {
          variants: [
            { match: [
              /class\s+/,
              IDENT_RE,
              /\s+is\s+/,
              IDENT_RE
            ] },
            { match: [
              /class\s+/,
              IDENT_RE
            ] }
          ],
          scope: {
            2: "title.class",
            4: "title.class.inherited"
          },
          keywords: KEYWORDS
        };
        const OPERATOR = {
          relevance: 0,
          match: regex.either(...OPERATORS),
          className: "operator"
        };
        const TRIPLE_STRING = {
          className: "string",
          begin: /"""/,
          end: /"""/
        };
        const PROPERTY = {
          className: "property",
          begin: regex.concat(/\./, regex.lookahead(IDENT_RE)),
          end: IDENT_RE,
          excludeBegin: true,
          relevance: 0
        };
        const FIELD = {
          relevance: 0,
          match: regex.concat(/\b_/, IDENT_RE),
          scope: "variable"
        };
        const CLASS_REFERENCE = {
          relevance: 0,
          match: /\b[A-Z]+[a-z]+([A-Z]+[a-z]+)*/,
          scope: "title.class",
          keywords: { _: CORE_CLASSES }
        };
        const NUMBER = hljs.C_NUMBER_MODE;
        const SETTER = {
          match: [
            IDENT_RE,
            /\s*/,
            /=/,
            /\s*/,
            /\(/,
            IDENT_RE,
            /\)\s*\{/
          ],
          scope: {
            1: "title.function",
            3: "operator",
            6: "params"
          }
        };
        const COMMENT_DOCS = hljs.COMMENT(
          /\/\*\*/,
          /\*\//,
          { contains: [
            {
              match: /@[a-z]+/,
              scope: "doctag"
            },
            "self"
          ] }
        );
        const SUBST = {
          scope: "subst",
          begin: /%\(/,
          end: /\)/,
          contains: [
            NUMBER,
            CLASS_REFERENCE,
            FUNCTION,
            FIELD,
            OPERATOR
          ]
        };
        const STRING = {
          scope: "string",
          begin: /"/,
          end: /"/,
          contains: [
            SUBST,
            {
              scope: "char.escape",
              variants: [
                { match: /\\\\|\\["0%abefnrtv]/ },
                { match: /\\x[0-9A-F]{2}/ },
                { match: /\\u[0-9A-F]{4}/ },
                { match: /\\U[0-9A-F]{8}/ }
              ]
            }
          ]
        };
        SUBST.contains.push(STRING);
        const ALL_KWS = [
          ...KEYWORDS,
          ...LANGUAGE_VARS,
          ...LITERALS
        ];
        const VARIABLE = {
          relevance: 0,
          match: regex.concat(
            "\\b(?!",
            ALL_KWS.join("|"),
            "\\b)",
            /[a-zA-Z_]\w*(?:[?!]|\b)/
          ),
          className: "variable"
        };
        const ATTRIBUTE = {
          // scope: "meta",
          scope: "comment",
          variants: [
            {
              begin: [
                /#!?/,
                /[A-Za-z_]+(?=\()/
              ],
              beginScope: {
                // 2: "attr"
              },
              keywords: { literal: LITERALS },
              contains: [
                // NUMBER,
                // VARIABLE
              ],
              end: /\)/
            },
            {
              begin: [
                /#!?/,
                /[A-Za-z_]+/
              ],
              beginScope: {
                // 2: "attr"
              },
              end: /$/
            }
          ]
        };
        return {
          name: "Wren",
          keywords: {
            keyword: KEYWORDS,
            "variable.language": LANGUAGE_VARS,
            literal: LITERALS
          },
          contains: [
            ATTRIBUTE,
            NUMBER,
            STRING,
            TRIPLE_STRING,
            COMMENT_DOCS,
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            CLASS_REFERENCE,
            CLASS_DEFINITION,
            SETTER,
            FUNCTION_DEFINITION,
            FUNCTION,
            OPERATOR,
            FIELD,
            PROPERTY,
            VARIABLE
          ]
        };
      }
      module.exports = wren;
    }
  });

  // node_modules/highlight.js/lib/languages/x86asm.js
  var require_x86asm = __commonJS({
    "node_modules/highlight.js/lib/languages/x86asm.js"(exports, module) {
      function x86asm(hljs) {
        return {
          name: "Intel x86 Assembly",
          case_insensitive: true,
          keywords: {
            $pattern: "[.%]?" + hljs.IDENT_RE,
            keyword: "lock rep repe repz repne repnz xaquire xrelease bnd nobnd aaa aad aam aas adc add and arpl bb0_reset bb1_reset bound bsf bsr bswap bt btc btr bts call cbw cdq cdqe clc cld cli clts cmc cmp cmpsb cmpsd cmpsq cmpsw cmpxchg cmpxchg486 cmpxchg8b cmpxchg16b cpuid cpu_read cpu_write cqo cwd cwde daa das dec div dmint emms enter equ f2xm1 fabs fadd faddp fbld fbstp fchs fclex fcmovb fcmovbe fcmove fcmovnb fcmovnbe fcmovne fcmovnu fcmovu fcom fcomi fcomip fcomp fcompp fcos fdecstp fdisi fdiv fdivp fdivr fdivrp femms feni ffree ffreep fiadd ficom ficomp fidiv fidivr fild fimul fincstp finit fist fistp fisttp fisub fisubr fld fld1 fldcw fldenv fldl2e fldl2t fldlg2 fldln2 fldpi fldz fmul fmulp fnclex fndisi fneni fninit fnop fnsave fnstcw fnstenv fnstsw fpatan fprem fprem1 fptan frndint frstor fsave fscale fsetpm fsin fsincos fsqrt fst fstcw fstenv fstp fstsw fsub fsubp fsubr fsubrp ftst fucom fucomi fucomip fucomp fucompp fxam fxch fxtract fyl2x fyl2xp1 hlt ibts icebp idiv imul in inc incbin insb insd insw int int01 int1 int03 int3 into invd invpcid invlpg invlpga iret iretd iretq iretw jcxz jecxz jrcxz jmp jmpe lahf lar lds lea leave les lfence lfs lgdt lgs lidt lldt lmsw loadall loadall286 lodsb lodsd lodsq lodsw loop loope loopne loopnz loopz lsl lss ltr mfence monitor mov movd movq movsb movsd movsq movsw movsx movsxd movzx mul mwait neg nop not or out outsb outsd outsw packssdw packsswb packuswb paddb paddd paddsb paddsiw paddsw paddusb paddusw paddw pand pandn pause paveb pavgusb pcmpeqb pcmpeqd pcmpeqw pcmpgtb pcmpgtd pcmpgtw pdistib pf2id pfacc pfadd pfcmpeq pfcmpge pfcmpgt pfmax pfmin pfmul pfrcp pfrcpit1 pfrcpit2 pfrsqit1 pfrsqrt pfsub pfsubr pi2fd pmachriw pmaddwd pmagw pmulhriw pmulhrwa pmulhrwc pmulhw pmullw pmvgezb pmvlzb pmvnzb pmvzb pop popa popad popaw popf popfd popfq popfw por prefetch prefetchw pslld psllq psllw psrad psraw psrld psrlq psrlw psubb psubd psubsb psubsiw psubsw psubusb psubusw psubw punpckhbw punpckhdq punpckhwd punpcklbw punpckldq punpcklwd push pusha pushad pushaw pushf pushfd pushfq pushfw pxor rcl rcr rdshr rdmsr rdpmc rdtsc rdtscp ret retf retn rol ror rdm rsdc rsldt rsm rsts sahf sal salc sar sbb scasb scasd scasq scasw sfence sgdt shl shld shr shrd sidt sldt skinit smi smint smintold smsw stc std sti stosb stosd stosq stosw str sub svdc svldt svts swapgs syscall sysenter sysexit sysret test ud0 ud1 ud2b ud2 ud2a umov verr verw fwait wbinvd wrshr wrmsr xadd xbts xchg xlatb xlat xor cmove cmovz cmovne cmovnz cmova cmovnbe cmovae cmovnb cmovb cmovnae cmovbe cmovna cmovg cmovnle cmovge cmovnl cmovl cmovnge cmovle cmovng cmovc cmovnc cmovo cmovno cmovs cmovns cmovp cmovpe cmovnp cmovpo je jz jne jnz ja jnbe jae jnb jb jnae jbe jna jg jnle jge jnl jl jnge jle jng jc jnc jo jno js jns jpo jnp jpe jp sete setz setne setnz seta setnbe setae setnb setnc setb setnae setcset setbe setna setg setnle setge setnl setl setnge setle setng sets setns seto setno setpe setp setpo setnp addps addss andnps andps cmpeqps cmpeqss cmpleps cmpless cmpltps cmpltss cmpneqps cmpneqss cmpnleps cmpnless cmpnltps cmpnltss cmpordps cmpordss cmpunordps cmpunordss cmpps cmpss comiss cvtpi2ps cvtps2pi cvtsi2ss cvtss2si cvttps2pi cvttss2si divps divss ldmxcsr maxps maxss minps minss movaps movhps movlhps movlps movhlps movmskps movntps movss movups mulps mulss orps rcpps rcpss rsqrtps rsqrtss shufps sqrtps sqrtss stmxcsr subps subss ucomiss unpckhps unpcklps xorps fxrstor fxrstor64 fxsave fxsave64 xgetbv xsetbv xsave xsave64 xsaveopt xsaveopt64 xrstor xrstor64 prefetchnta prefetcht0 prefetcht1 prefetcht2 maskmovq movntq pavgb pavgw pextrw pinsrw pmaxsw pmaxub pminsw pminub pmovmskb pmulhuw psadbw pshufw pf2iw pfnacc pfpnacc pi2fw pswapd maskmovdqu clflush movntdq movnti movntpd movdqa movdqu movdq2q movq2dq paddq pmuludq pshufd pshufhw pshuflw pslldq psrldq psubq punpckhqdq punpcklqdq addpd addsd andnpd andpd cmpeqpd cmpeqsd cmplepd cmplesd cmpltpd cmpltsd cmpneqpd cmpneqsd cmpnlepd cmpnlesd cmpnltpd cmpnltsd cmpordpd cmpordsd cmpunordpd cmpunordsd cmppd comisd cvtdq2pd cvtdq2ps cvtpd2dq cvtpd2pi cvtpd2ps cvtpi2pd cvtps2dq cvtps2pd cvtsd2si cvtsd2ss cvtsi2sd cvtss2sd cvttpd2pi cvttpd2dq cvttps2dq cvttsd2si divpd divsd maxpd maxsd minpd minsd movapd movhpd movlpd movmskpd movupd mulpd mulsd orpd shufpd sqrtpd sqrtsd subpd subsd ucomisd unpckhpd unpcklpd xorpd addsubpd addsubps haddpd haddps hsubpd hsubps lddqu movddup movshdup movsldup clgi stgi vmcall vmclear vmfunc vmlaunch vmload vmmcall vmptrld vmptrst vmread vmresume vmrun vmsave vmwrite vmxoff vmxon invept invvpid pabsb pabsw pabsd palignr phaddw phaddd phaddsw phsubw phsubd phsubsw pmaddubsw pmulhrsw pshufb psignb psignw psignd extrq insertq movntsd movntss lzcnt blendpd blendps blendvpd blendvps dppd dpps extractps insertps movntdqa mpsadbw packusdw pblendvb pblendw pcmpeqq pextrb pextrd pextrq phminposuw pinsrb pinsrd pinsrq pmaxsb pmaxsd pmaxud pmaxuw pminsb pminsd pminud pminuw pmovsxbw pmovsxbd pmovsxbq pmovsxwd pmovsxwq pmovsxdq pmovzxbw pmovzxbd pmovzxbq pmovzxwd pmovzxwq pmovzxdq pmuldq pmulld ptest roundpd roundps roundsd roundss crc32 pcmpestri pcmpestrm pcmpistri pcmpistrm pcmpgtq popcnt getsec pfrcpv pfrsqrtv movbe aesenc aesenclast aesdec aesdeclast aesimc aeskeygenassist vaesenc vaesenclast vaesdec vaesdeclast vaesimc vaeskeygenassist vaddpd vaddps vaddsd vaddss vaddsubpd vaddsubps vandpd vandps vandnpd vandnps vblendpd vblendps vblendvpd vblendvps vbroadcastss vbroadcastsd vbroadcastf128 vcmpeq_ospd vcmpeqpd vcmplt_ospd vcmpltpd vcmple_ospd vcmplepd vcmpunord_qpd vcmpunordpd vcmpneq_uqpd vcmpneqpd vcmpnlt_uspd vcmpnltpd vcmpnle_uspd vcmpnlepd vcmpord_qpd vcmpordpd vcmpeq_uqpd vcmpnge_uspd vcmpngepd vcmpngt_uspd vcmpngtpd vcmpfalse_oqpd vcmpfalsepd vcmpneq_oqpd vcmpge_ospd vcmpgepd vcmpgt_ospd vcmpgtpd vcmptrue_uqpd vcmptruepd vcmplt_oqpd vcmple_oqpd vcmpunord_spd vcmpneq_uspd vcmpnlt_uqpd vcmpnle_uqpd vcmpord_spd vcmpeq_uspd vcmpnge_uqpd vcmpngt_uqpd vcmpfalse_ospd vcmpneq_ospd vcmpge_oqpd vcmpgt_oqpd vcmptrue_uspd vcmppd vcmpeq_osps vcmpeqps vcmplt_osps vcmpltps vcmple_osps vcmpleps vcmpunord_qps vcmpunordps vcmpneq_uqps vcmpneqps vcmpnlt_usps vcmpnltps vcmpnle_usps vcmpnleps vcmpord_qps vcmpordps vcmpeq_uqps vcmpnge_usps vcmpngeps vcmpngt_usps vcmpngtps vcmpfalse_oqps vcmpfalseps vcmpneq_oqps vcmpge_osps vcmpgeps vcmpgt_osps vcmpgtps vcmptrue_uqps vcmptrueps vcmplt_oqps vcmple_oqps vcmpunord_sps vcmpneq_usps vcmpnlt_uqps vcmpnle_uqps vcmpord_sps vcmpeq_usps vcmpnge_uqps vcmpngt_uqps vcmpfalse_osps vcmpneq_osps vcmpge_oqps vcmpgt_oqps vcmptrue_usps vcmpps vcmpeq_ossd vcmpeqsd vcmplt_ossd vcmpltsd vcmple_ossd vcmplesd vcmpunord_qsd vcmpunordsd vcmpneq_uqsd vcmpneqsd vcmpnlt_ussd vcmpnltsd vcmpnle_ussd vcmpnlesd vcmpord_qsd vcmpordsd vcmpeq_uqsd vcmpnge_ussd vcmpngesd vcmpngt_ussd vcmpngtsd vcmpfalse_oqsd vcmpfalsesd vcmpneq_oqsd vcmpge_ossd vcmpgesd vcmpgt_ossd vcmpgtsd vcmptrue_uqsd vcmptruesd vcmplt_oqsd vcmple_oqsd vcmpunord_ssd vcmpneq_ussd vcmpnlt_uqsd vcmpnle_uqsd vcmpord_ssd vcmpeq_ussd vcmpnge_uqsd vcmpngt_uqsd vcmpfalse_ossd vcmpneq_ossd vcmpge_oqsd vcmpgt_oqsd vcmptrue_ussd vcmpsd vcmpeq_osss vcmpeqss vcmplt_osss vcmpltss vcmple_osss vcmpless vcmpunord_qss vcmpunordss vcmpneq_uqss vcmpneqss vcmpnlt_usss vcmpnltss vcmpnle_usss vcmpnless vcmpord_qss vcmpordss vcmpeq_uqss vcmpnge_usss vcmpngess vcmpngt_usss vcmpngtss vcmpfalse_oqss vcmpfalsess vcmpneq_oqss vcmpge_osss vcmpgess vcmpgt_osss vcmpgtss vcmptrue_uqss vcmptruess vcmplt_oqss vcmple_oqss vcmpunord_sss vcmpneq_usss vcmpnlt_uqss vcmpnle_uqss vcmpord_sss vcmpeq_usss vcmpnge_uqss vcmpngt_uqss vcmpfalse_osss vcmpneq_osss vcmpge_oqss vcmpgt_oqss vcmptrue_usss vcmpss vcomisd vcomiss vcvtdq2pd vcvtdq2ps vcvtpd2dq vcvtpd2ps vcvtps2dq vcvtps2pd vcvtsd2si vcvtsd2ss vcvtsi2sd vcvtsi2ss vcvtss2sd vcvtss2si vcvttpd2dq vcvttps2dq vcvttsd2si vcvttss2si vdivpd vdivps vdivsd vdivss vdppd vdpps vextractf128 vextractps vhaddpd vhaddps vhsubpd vhsubps vinsertf128 vinsertps vlddqu vldqqu vldmxcsr vmaskmovdqu vmaskmovps vmaskmovpd vmaxpd vmaxps vmaxsd vmaxss vminpd vminps vminsd vminss vmovapd vmovaps vmovd vmovq vmovddup vmovdqa vmovqqa vmovdqu vmovqqu vmovhlps vmovhpd vmovhps vmovlhps vmovlpd vmovlps vmovmskpd vmovmskps vmovntdq vmovntqq vmovntdqa vmovntpd vmovntps vmovsd vmovshdup vmovsldup vmovss vmovupd vmovups vmpsadbw vmulpd vmulps vmulsd vmulss vorpd vorps vpabsb vpabsw vpabsd vpacksswb vpackssdw vpackuswb vpackusdw vpaddb vpaddw vpaddd vpaddq vpaddsb vpaddsw vpaddusb vpaddusw vpalignr vpand vpandn vpavgb vpavgw vpblendvb vpblendw vpcmpestri vpcmpestrm vpcmpistri vpcmpistrm vpcmpeqb vpcmpeqw vpcmpeqd vpcmpeqq vpcmpgtb vpcmpgtw vpcmpgtd vpcmpgtq vpermilpd vpermilps vperm2f128 vpextrb vpextrw vpextrd vpextrq vphaddw vphaddd vphaddsw vphminposuw vphsubw vphsubd vphsubsw vpinsrb vpinsrw vpinsrd vpinsrq vpmaddwd vpmaddubsw vpmaxsb vpmaxsw vpmaxsd vpmaxub vpmaxuw vpmaxud vpminsb vpminsw vpminsd vpminub vpminuw vpminud vpmovmskb vpmovsxbw vpmovsxbd vpmovsxbq vpmovsxwd vpmovsxwq vpmovsxdq vpmovzxbw vpmovzxbd vpmovzxbq vpmovzxwd vpmovzxwq vpmovzxdq vpmulhuw vpmulhrsw vpmulhw vpmullw vpmulld vpmuludq vpmuldq vpor vpsadbw vpshufb vpshufd vpshufhw vpshuflw vpsignb vpsignw vpsignd vpslldq vpsrldq vpsllw vpslld vpsllq vpsraw vpsrad vpsrlw vpsrld vpsrlq vptest vpsubb vpsubw vpsubd vpsubq vpsubsb vpsubsw vpsubusb vpsubusw vpunpckhbw vpunpckhwd vpunpckhdq vpunpckhqdq vpunpcklbw vpunpcklwd vpunpckldq vpunpcklqdq vpxor vrcpps vrcpss vrsqrtps vrsqrtss vroundpd vroundps vroundsd vroundss vshufpd vshufps vsqrtpd vsqrtps vsqrtsd vsqrtss vstmxcsr vsubpd vsubps vsubsd vsubss vtestps vtestpd vucomisd vucomiss vunpckhpd vunpckhps vunpcklpd vunpcklps vxorpd vxorps vzeroall vzeroupper pclmullqlqdq pclmulhqlqdq pclmullqhqdq pclmulhqhqdq pclmulqdq vpclmullqlqdq vpclmulhqlqdq vpclmullqhqdq vpclmulhqhqdq vpclmulqdq vfmadd132ps vfmadd132pd vfmadd312ps vfmadd312pd vfmadd213ps vfmadd213pd vfmadd123ps vfmadd123pd vfmadd231ps vfmadd231pd vfmadd321ps vfmadd321pd vfmaddsub132ps vfmaddsub132pd vfmaddsub312ps vfmaddsub312pd vfmaddsub213ps vfmaddsub213pd vfmaddsub123ps vfmaddsub123pd vfmaddsub231ps vfmaddsub231pd vfmaddsub321ps vfmaddsub321pd vfmsub132ps vfmsub132pd vfmsub312ps vfmsub312pd vfmsub213ps vfmsub213pd vfmsub123ps vfmsub123pd vfmsub231ps vfmsub231pd vfmsub321ps vfmsub321pd vfmsubadd132ps vfmsubadd132pd vfmsubadd312ps vfmsubadd312pd vfmsubadd213ps vfmsubadd213pd vfmsubadd123ps vfmsubadd123pd vfmsubadd231ps vfmsubadd231pd vfmsubadd321ps vfmsubadd321pd vfnmadd132ps vfnmadd132pd vfnmadd312ps vfnmadd312pd vfnmadd213ps vfnmadd213pd vfnmadd123ps vfnmadd123pd vfnmadd231ps vfnmadd231pd vfnmadd321ps vfnmadd321pd vfnmsub132ps vfnmsub132pd vfnmsub312ps vfnmsub312pd vfnmsub213ps vfnmsub213pd vfnmsub123ps vfnmsub123pd vfnmsub231ps vfnmsub231pd vfnmsub321ps vfnmsub321pd vfmadd132ss vfmadd132sd vfmadd312ss vfmadd312sd vfmadd213ss vfmadd213sd vfmadd123ss vfmadd123sd vfmadd231ss vfmadd231sd vfmadd321ss vfmadd321sd vfmsub132ss vfmsub132sd vfmsub312ss vfmsub312sd vfmsub213ss vfmsub213sd vfmsub123ss vfmsub123sd vfmsub231ss vfmsub231sd vfmsub321ss vfmsub321sd vfnmadd132ss vfnmadd132sd vfnmadd312ss vfnmadd312sd vfnmadd213ss vfnmadd213sd vfnmadd123ss vfnmadd123sd vfnmadd231ss vfnmadd231sd vfnmadd321ss vfnmadd321sd vfnmsub132ss vfnmsub132sd vfnmsub312ss vfnmsub312sd vfnmsub213ss vfnmsub213sd vfnmsub123ss vfnmsub123sd vfnmsub231ss vfnmsub231sd vfnmsub321ss vfnmsub321sd rdfsbase rdgsbase rdrand wrfsbase wrgsbase vcvtph2ps vcvtps2ph adcx adox rdseed clac stac xstore xcryptecb xcryptcbc xcryptctr xcryptcfb xcryptofb montmul xsha1 xsha256 llwpcb slwpcb lwpval lwpins vfmaddpd vfmaddps vfmaddsd vfmaddss vfmaddsubpd vfmaddsubps vfmsubaddpd vfmsubaddps vfmsubpd vfmsubps vfmsubsd vfmsubss vfnmaddpd vfnmaddps vfnmaddsd vfnmaddss vfnmsubpd vfnmsubps vfnmsubsd vfnmsubss vfrczpd vfrczps vfrczsd vfrczss vpcmov vpcomb vpcomd vpcomq vpcomub vpcomud vpcomuq vpcomuw vpcomw vphaddbd vphaddbq vphaddbw vphadddq vphaddubd vphaddubq vphaddubw vphaddudq vphadduwd vphadduwq vphaddwd vphaddwq vphsubbw vphsubdq vphsubwd vpmacsdd vpmacsdqh vpmacsdql vpmacssdd vpmacssdqh vpmacssdql vpmacsswd vpmacssww vpmacswd vpmacsww vpmadcsswd vpmadcswd vpperm vprotb vprotd vprotq vprotw vpshab vpshad vpshaq vpshaw vpshlb vpshld vpshlq vpshlw vbroadcasti128 vpblendd vpbroadcastb vpbroadcastw vpbroadcastd vpbroadcastq vpermd vpermpd vpermps vpermq vperm2i128 vextracti128 vinserti128 vpmaskmovd vpmaskmovq vpsllvd vpsllvq vpsravd vpsrlvd vpsrlvq vgatherdpd vgatherqpd vgatherdps vgatherqps vpgatherdd vpgatherqd vpgatherdq vpgatherqq xabort xbegin xend xtest andn bextr blci blcic blsi blsic blcfill blsfill blcmsk blsmsk blsr blcs bzhi mulx pdep pext rorx sarx shlx shrx tzcnt tzmsk t1mskc valignd valignq vblendmpd vblendmps vbroadcastf32x4 vbroadcastf64x4 vbroadcasti32x4 vbroadcasti64x4 vcompresspd vcompressps vcvtpd2udq vcvtps2udq vcvtsd2usi vcvtss2usi vcvttpd2udq vcvttps2udq vcvttsd2usi vcvttss2usi vcvtudq2pd vcvtudq2ps vcvtusi2sd vcvtusi2ss vexpandpd vexpandps vextractf32x4 vextractf64x4 vextracti32x4 vextracti64x4 vfixupimmpd vfixupimmps vfixupimmsd vfixupimmss vgetexppd vgetexpps vgetexpsd vgetexpss vgetmantpd vgetmantps vgetmantsd vgetmantss vinsertf32x4 vinsertf64x4 vinserti32x4 vinserti64x4 vmovdqa32 vmovdqa64 vmovdqu32 vmovdqu64 vpabsq vpandd vpandnd vpandnq vpandq vpblendmd vpblendmq vpcmpltd vpcmpled vpcmpneqd vpcmpnltd vpcmpnled vpcmpd vpcmpltq vpcmpleq vpcmpneqq vpcmpnltq vpcmpnleq vpcmpq vpcmpequd vpcmpltud vpcmpleud vpcmpnequd vpcmpnltud vpcmpnleud vpcmpud vpcmpequq vpcmpltuq vpcmpleuq vpcmpnequq vpcmpnltuq vpcmpnleuq vpcmpuq vpcompressd vpcompressq vpermi2d vpermi2pd vpermi2ps vpermi2q vpermt2d vpermt2pd vpermt2ps vpermt2q vpexpandd vpexpandq vpmaxsq vpmaxuq vpminsq vpminuq vpmovdb vpmovdw vpmovqb vpmovqd vpmovqw vpmovsdb vpmovsdw vpmovsqb vpmovsqd vpmovsqw vpmovusdb vpmovusdw vpmovusqb vpmovusqd vpmovusqw vpord vporq vprold vprolq vprolvd vprolvq vprord vprorq vprorvd vprorvq vpscatterdd vpscatterdq vpscatterqd vpscatterqq vpsraq vpsravq vpternlogd vpternlogq vptestmd vptestmq vptestnmd vptestnmq vpxord vpxorq vrcp14pd vrcp14ps vrcp14sd vrcp14ss vrndscalepd vrndscaleps vrndscalesd vrndscaless vrsqrt14pd vrsqrt14ps vrsqrt14sd vrsqrt14ss vscalefpd vscalefps vscalefsd vscalefss vscatterdpd vscatterdps vscatterqpd vscatterqps vshuff32x4 vshuff64x2 vshufi32x4 vshufi64x2 kandnw kandw kmovw knotw kortestw korw kshiftlw kshiftrw kunpckbw kxnorw kxorw vpbroadcastmb2q vpbroadcastmw2d vpconflictd vpconflictq vplzcntd vplzcntq vexp2pd vexp2ps vrcp28pd vrcp28ps vrcp28sd vrcp28ss vrsqrt28pd vrsqrt28ps vrsqrt28sd vrsqrt28ss vgatherpf0dpd vgatherpf0dps vgatherpf0qpd vgatherpf0qps vgatherpf1dpd vgatherpf1dps vgatherpf1qpd vgatherpf1qps vscatterpf0dpd vscatterpf0dps vscatterpf0qpd vscatterpf0qps vscatterpf1dpd vscatterpf1dps vscatterpf1qpd vscatterpf1qps prefetchwt1 bndmk bndcl bndcu bndcn bndmov bndldx bndstx sha1rnds4 sha1nexte sha1msg1 sha1msg2 sha256rnds2 sha256msg1 sha256msg2 hint_nop0 hint_nop1 hint_nop2 hint_nop3 hint_nop4 hint_nop5 hint_nop6 hint_nop7 hint_nop8 hint_nop9 hint_nop10 hint_nop11 hint_nop12 hint_nop13 hint_nop14 hint_nop15 hint_nop16 hint_nop17 hint_nop18 hint_nop19 hint_nop20 hint_nop21 hint_nop22 hint_nop23 hint_nop24 hint_nop25 hint_nop26 hint_nop27 hint_nop28 hint_nop29 hint_nop30 hint_nop31 hint_nop32 hint_nop33 hint_nop34 hint_nop35 hint_nop36 hint_nop37 hint_nop38 hint_nop39 hint_nop40 hint_nop41 hint_nop42 hint_nop43 hint_nop44 hint_nop45 hint_nop46 hint_nop47 hint_nop48 hint_nop49 hint_nop50 hint_nop51 hint_nop52 hint_nop53 hint_nop54 hint_nop55 hint_nop56 hint_nop57 hint_nop58 hint_nop59 hint_nop60 hint_nop61 hint_nop62 hint_nop63",
            built_in: (
              // Instruction pointer
              "ip eip rip al ah bl bh cl ch dl dh sil dil bpl spl r8b r9b r10b r11b r12b r13b r14b r15b ax bx cx dx si di bp sp r8w r9w r10w r11w r12w r13w r14w r15w eax ebx ecx edx esi edi ebp esp eip r8d r9d r10d r11d r12d r13d r14d r15d rax rbx rcx rdx rsi rdi rbp rsp r8 r9 r10 r11 r12 r13 r14 r15 cs ds es fs gs ss st st0 st1 st2 st3 st4 st5 st6 st7 mm0 mm1 mm2 mm3 mm4 mm5 mm6 mm7 xmm0  xmm1  xmm2  xmm3  xmm4  xmm5  xmm6  xmm7  xmm8  xmm9 xmm10  xmm11 xmm12 xmm13 xmm14 xmm15 xmm16 xmm17 xmm18 xmm19 xmm20 xmm21 xmm22 xmm23 xmm24 xmm25 xmm26 xmm27 xmm28 xmm29 xmm30 xmm31 ymm0  ymm1  ymm2  ymm3  ymm4  ymm5  ymm6  ymm7  ymm8  ymm9 ymm10  ymm11 ymm12 ymm13 ymm14 ymm15 ymm16 ymm17 ymm18 ymm19 ymm20 ymm21 ymm22 ymm23 ymm24 ymm25 ymm26 ymm27 ymm28 ymm29 ymm30 ymm31 zmm0  zmm1  zmm2  zmm3  zmm4  zmm5  zmm6  zmm7  zmm8  zmm9 zmm10  zmm11 zmm12 zmm13 zmm14 zmm15 zmm16 zmm17 zmm18 zmm19 zmm20 zmm21 zmm22 zmm23 zmm24 zmm25 zmm26 zmm27 zmm28 zmm29 zmm30 zmm31 k0 k1 k2 k3 k4 k5 k6 k7 bnd0 bnd1 bnd2 bnd3 cr0 cr1 cr2 cr3 cr4 cr8 dr0 dr1 dr2 dr3 dr8 tr3 tr4 tr5 tr6 tr7 r0 r1 r2 r3 r4 r5 r6 r7 r0b r1b r2b r3b r4b r5b r6b r7b r0w r1w r2w r3w r4w r5w r6w r7w r0d r1d r2d r3d r4d r5d r6d r7d r0h r1h r2h r3h r0l r1l r2l r3l r4l r5l r6l r7l r8l r9l r10l r11l r12l r13l r14l r15l db dw dd dq dt ddq do dy dz resb resw resd resq rest resdq reso resy resz incbin equ times byte word dword qword nosplit rel abs seg wrt strict near far a32 ptr"
            ),
            meta: "%define %xdefine %+ %undef %defstr %deftok %assign %strcat %strlen %substr %rotate %elif %else %endif %if %ifmacro %ifctx %ifidn %ifidni %ifid %ifnum %ifstr %iftoken %ifempty %ifenv %error %warning %fatal %rep %endrep %include %push %pop %repl %pathsearch %depend %use %arg %stacksize %local %line %comment %endcomment .nolist __FILE__ __LINE__ __SECT__  __BITS__ __OUTPUT_FORMAT__ __DATE__ __TIME__ __DATE_NUM__ __TIME_NUM__ __UTC_DATE__ __UTC_TIME__ __UTC_DATE_NUM__ __UTC_TIME_NUM__  __PASS__ struc endstruc istruc at iend align alignb sectalign daz nodaz up down zero default option assume public bits use16 use32 use64 default section segment absolute extern global common cpu float __utf16__ __utf16le__ __utf16be__ __utf32__ __utf32le__ __utf32be__ __float8__ __float16__ __float32__ __float64__ __float80m__ __float80e__ __float128l__ __float128h__ __Infinity__ __QNaN__ __SNaN__ Inf NaN QNaN SNaN float8 float16 float32 float64 float80m float80e float128l float128h __FLOAT_DAZ__ __FLOAT_ROUND__ __FLOAT__"
          },
          contains: [
            hljs.COMMENT(
              ";",
              "$",
              { relevance: 0 }
            ),
            {
              className: "number",
              variants: [
                // Float number and x87 BCD
                {
                  begin: "\\b(?:([0-9][0-9_]*)?\\.[0-9_]*(?:[eE][+-]?[0-9_]+)?|(0[Xx])?[0-9][0-9_]*(\\.[0-9_]*)?(?:[pP](?:[+-]?[0-9_]+)?)?)\\b",
                  relevance: 0
                },
                // Hex number in $
                {
                  begin: "\\$[0-9][0-9A-Fa-f]*",
                  relevance: 0
                },
                // Number in H,D,T,Q,O,B,Y suffix
                { begin: "\\b(?:[0-9A-Fa-f][0-9A-Fa-f_]*[Hh]|[0-9][0-9_]*[DdTt]?|[0-7][0-7_]*[QqOo]|[0-1][0-1_]*[BbYy])\\b" },
                // Number in X,D,T,Q,O,B,Y prefix
                { begin: "\\b(?:0[Xx][0-9A-Fa-f_]+|0[DdTt][0-9_]+|0[QqOo][0-7_]+|0[BbYy][0-1_]+)\\b" }
              ]
            },
            // Double quote string
            hljs.QUOTE_STRING_MODE,
            {
              className: "string",
              variants: [
                // Single-quoted string
                {
                  begin: "'",
                  end: "[^\\\\]'"
                },
                // Backquoted string
                {
                  begin: "`",
                  end: "[^\\\\]`"
                }
              ],
              relevance: 0
            },
            {
              className: "symbol",
              variants: [
                // Global label and local label
                { begin: "^\\s*[A-Za-z._?][A-Za-z0-9_$#@~.?]*(:|\\s+label)" },
                // Macro-local label
                { begin: "^\\s*%%[A-Za-z0-9_$#@~.?]*:" }
              ],
              relevance: 0
            },
            // Macro parameter
            {
              className: "subst",
              begin: "%[0-9]+",
              relevance: 0
            },
            // Macro parameter
            {
              className: "subst",
              begin: "%!S+",
              relevance: 0
            },
            {
              className: "meta",
              begin: /^\s*\.[\w_-]+/
            }
          ]
        };
      }
      module.exports = x86asm;
    }
  });

  // node_modules/highlight.js/lib/languages/xl.js
  var require_xl = __commonJS({
    "node_modules/highlight.js/lib/languages/xl.js"(exports, module) {
      function xl(hljs) {
        const KWS = [
          "if",
          "then",
          "else",
          "do",
          "while",
          "until",
          "for",
          "loop",
          "import",
          "with",
          "is",
          "as",
          "where",
          "when",
          "by",
          "data",
          "constant",
          "integer",
          "real",
          "text",
          "name",
          "boolean",
          "symbol",
          "infix",
          "prefix",
          "postfix",
          "block",
          "tree"
        ];
        const BUILT_INS = [
          "in",
          "mod",
          "rem",
          "and",
          "or",
          "xor",
          "not",
          "abs",
          "sign",
          "floor",
          "ceil",
          "sqrt",
          "sin",
          "cos",
          "tan",
          "asin",
          "acos",
          "atan",
          "exp",
          "expm1",
          "log",
          "log2",
          "log10",
          "log1p",
          "pi",
          "at",
          "text_length",
          "text_range",
          "text_find",
          "text_replace",
          "contains",
          "page",
          "slide",
          "basic_slide",
          "title_slide",
          "title",
          "subtitle",
          "fade_in",
          "fade_out",
          "fade_at",
          "clear_color",
          "color",
          "line_color",
          "line_width",
          "texture_wrap",
          "texture_transform",
          "texture",
          "scale_?x",
          "scale_?y",
          "scale_?z?",
          "translate_?x",
          "translate_?y",
          "translate_?z?",
          "rotate_?x",
          "rotate_?y",
          "rotate_?z?",
          "rectangle",
          "circle",
          "ellipse",
          "sphere",
          "path",
          "line_to",
          "move_to",
          "quad_to",
          "curve_to",
          "theme",
          "background",
          "contents",
          "locally",
          "time",
          "mouse_?x",
          "mouse_?y",
          "mouse_buttons"
        ];
        const BUILTIN_MODULES = [
          "ObjectLoader",
          "Animate",
          "MovieCredits",
          "Slides",
          "Filters",
          "Shading",
          "Materials",
          "LensFlare",
          "Mapping",
          "VLCAudioVideo",
          "StereoDecoder",
          "PointCloud",
          "NetworkAccess",
          "RemoteControl",
          "RegExp",
          "ChromaKey",
          "Snowfall",
          "NodeJS",
          "Speech",
          "Charts"
        ];
        const LITERALS = [
          "true",
          "false",
          "nil"
        ];
        const KEYWORDS = {
          $pattern: /[a-zA-Z][a-zA-Z0-9_?]*/,
          keyword: KWS,
          literal: LITERALS,
          built_in: BUILT_INS.concat(BUILTIN_MODULES)
        };
        const DOUBLE_QUOTE_TEXT = {
          className: "string",
          begin: '"',
          end: '"',
          illegal: "\\n"
        };
        const SINGLE_QUOTE_TEXT = {
          className: "string",
          begin: "'",
          end: "'",
          illegal: "\\n"
        };
        const LONG_TEXT = {
          className: "string",
          begin: "<<",
          end: ">>"
        };
        const BASED_NUMBER = {
          className: "number",
          begin: "[0-9]+#[0-9A-Z_]+(\\.[0-9-A-Z_]+)?#?([Ee][+-]?[0-9]+)?"
        };
        const IMPORT = {
          beginKeywords: "import",
          end: "$",
          keywords: KEYWORDS,
          contains: [DOUBLE_QUOTE_TEXT]
        };
        const FUNCTION_DEFINITION = {
          className: "function",
          begin: /[a-z][^\n]*->/,
          returnBegin: true,
          end: /->/,
          contains: [
            hljs.inherit(hljs.TITLE_MODE, { starts: {
              endsWithParent: true,
              keywords: KEYWORDS
            } })
          ]
        };
        return {
          name: "XL",
          aliases: ["tao"],
          keywords: KEYWORDS,
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.C_BLOCK_COMMENT_MODE,
            DOUBLE_QUOTE_TEXT,
            SINGLE_QUOTE_TEXT,
            LONG_TEXT,
            FUNCTION_DEFINITION,
            IMPORT,
            BASED_NUMBER,
            hljs.NUMBER_MODE
          ]
        };
      }
      module.exports = xl;
    }
  });

  // node_modules/highlight.js/lib/languages/xquery.js
  var require_xquery = __commonJS({
    "node_modules/highlight.js/lib/languages/xquery.js"(exports, module) {
      function xquery(_hljs) {
        const KEYWORDS = [
          "module",
          "schema",
          "namespace",
          "boundary-space",
          "preserve",
          "no-preserve",
          "strip",
          "default",
          "collation",
          "base-uri",
          "ordering",
          "context",
          "decimal-format",
          "decimal-separator",
          "copy-namespaces",
          "empty-sequence",
          "except",
          "exponent-separator",
          "external",
          "grouping-separator",
          "inherit",
          "no-inherit",
          "lax",
          "minus-sign",
          "per-mille",
          "percent",
          "schema-attribute",
          "schema-element",
          "strict",
          "unordered",
          "zero-digit",
          "declare",
          "import",
          "option",
          "function",
          "validate",
          "variable",
          "for",
          "at",
          "in",
          "let",
          "where",
          "order",
          "group",
          "by",
          "return",
          "if",
          "then",
          "else",
          "tumbling",
          "sliding",
          "window",
          "start",
          "when",
          "only",
          "end",
          "previous",
          "next",
          "stable",
          "ascending",
          "descending",
          "allowing",
          "empty",
          "greatest",
          "least",
          "some",
          "every",
          "satisfies",
          "switch",
          "case",
          "typeswitch",
          "try",
          "catch",
          "and",
          "or",
          "to",
          "union",
          "intersect",
          "instance",
          "of",
          "treat",
          "as",
          "castable",
          "cast",
          "map",
          "array",
          "delete",
          "insert",
          "into",
          "replace",
          "value",
          "rename",
          "copy",
          "modify",
          "update"
        ];
        const TYPES = [
          "item",
          "document-node",
          "node",
          "attribute",
          "document",
          "element",
          "comment",
          "namespace",
          "namespace-node",
          "processing-instruction",
          "text",
          "construction",
          "xs:anyAtomicType",
          "xs:untypedAtomic",
          "xs:duration",
          "xs:time",
          "xs:decimal",
          "xs:float",
          "xs:double",
          "xs:gYearMonth",
          "xs:gYear",
          "xs:gMonthDay",
          "xs:gMonth",
          "xs:gDay",
          "xs:boolean",
          "xs:base64Binary",
          "xs:hexBinary",
          "xs:anyURI",
          "xs:QName",
          "xs:NOTATION",
          "xs:dateTime",
          "xs:dateTimeStamp",
          "xs:date",
          "xs:string",
          "xs:normalizedString",
          "xs:token",
          "xs:language",
          "xs:NMTOKEN",
          "xs:Name",
          "xs:NCName",
          "xs:ID",
          "xs:IDREF",
          "xs:ENTITY",
          "xs:integer",
          "xs:nonPositiveInteger",
          "xs:negativeInteger",
          "xs:long",
          "xs:int",
          "xs:short",
          "xs:byte",
          "xs:nonNegativeInteger",
          "xs:unisignedLong",
          "xs:unsignedInt",
          "xs:unsignedShort",
          "xs:unsignedByte",
          "xs:positiveInteger",
          "xs:yearMonthDuration",
          "xs:dayTimeDuration"
        ];
        const LITERALS = [
          "eq",
          "ne",
          "lt",
          "le",
          "gt",
          "ge",
          "is",
          "self::",
          "child::",
          "descendant::",
          "descendant-or-self::",
          "attribute::",
          "following::",
          "following-sibling::",
          "parent::",
          "ancestor::",
          "ancestor-or-self::",
          "preceding::",
          "preceding-sibling::",
          "NaN"
        ];
        const BUILT_IN = {
          className: "built_in",
          variants: [
            {
              begin: /\barray:/,
              end: /(?:append|filter|flatten|fold-(?:left|right)|for-each(?:-pair)?|get|head|insert-before|join|put|remove|reverse|size|sort|subarray|tail)\b/
            },
            {
              begin: /\bmap:/,
              end: /(?:contains|entry|find|for-each|get|keys|merge|put|remove|size)\b/
            },
            {
              begin: /\bmath:/,
              end: /(?:a(?:cos|sin|tan[2]?)|cos|exp(?:10)?|log(?:10)?|pi|pow|sin|sqrt|tan)\b/
            },
            {
              begin: /\bop:/,
              end: /\(/,
              excludeEnd: true
            },
            {
              begin: /\bfn:/,
              end: /\(/,
              excludeEnd: true
            },
            // do not highlight inbuilt strings as variable or xml element names
            { begin: /[^</$:'"-]\b(?:abs|accumulator-(?:after|before)|adjust-(?:date(?:Time)?|time)-to-timezone|analyze-string|apply|available-(?:environment-variables|system-properties)|avg|base-uri|boolean|ceiling|codepoints?-(?:equal|to-string)|collation-key|collection|compare|concat|contains(?:-token)?|copy-of|count|current(?:-)?(?:date(?:Time)?|time|group(?:ing-key)?|output-uri|merge-(?:group|key))?data|dateTime|days?-from-(?:date(?:Time)?|duration)|deep-equal|default-(?:collation|language)|distinct-values|document(?:-uri)?|doc(?:-available)?|element-(?:available|with-id)|empty|encode-for-uri|ends-with|environment-variable|error|escape-html-uri|exactly-one|exists|false|filter|floor|fold-(?:left|right)|for-each(?:-pair)?|format-(?:date(?:Time)?|time|integer|number)|function-(?:arity|available|lookup|name)|generate-id|has-children|head|hours-from-(?:dateTime|duration|time)|id(?:ref)?|implicit-timezone|in-scope-prefixes|index-of|innermost|insert-before|iri-to-uri|json-(?:doc|to-xml)|key|lang|last|load-xquery-module|local-name(?:-from-QName)?|(?:lower|upper)-case|matches|max|minutes-from-(?:dateTime|duration|time)|min|months?-from-(?:date(?:Time)?|duration)|name(?:space-uri-?(?:for-prefix|from-QName)?)?|nilled|node-name|normalize-(?:space|unicode)|not|number|one-or-more|outermost|parse-(?:ietf-date|json)|path|position|(?:prefix-from-)?QName|random-number-generator|regex-group|remove|replace|resolve-(?:QName|uri)|reverse|root|round(?:-half-to-even)?|seconds-from-(?:dateTime|duration|time)|snapshot|sort|starts-with|static-base-uri|stream-available|string-?(?:join|length|to-codepoints)?|subsequence|substring-?(?:after|before)?|sum|system-property|tail|timezone-from-(?:date(?:Time)?|time)|tokenize|trace|trans(?:form|late)|true|type-available|unordered|unparsed-(?:entity|text)?-?(?:public-id|uri|available|lines)?|uri-collection|xml-to-json|years?-from-(?:date(?:Time)?|duration)|zero-or-one)\b/ },
            {
              begin: /\blocal:/,
              end: /\(/,
              excludeEnd: true
            },
            {
              begin: /\bzip:/,
              end: /(?:zip-file|(?:xml|html|text|binary)-entry| (?:update-)?entries)\b/
            },
            {
              begin: /\b(?:util|db|functx|app|xdmp|xmldb):/,
              end: /\(/,
              excludeEnd: true
            }
          ]
        };
        const TITLE = {
          className: "title",
          begin: /\bxquery version "[13]\.[01]"\s?(?:encoding ".+")?/,
          end: /;/
        };
        const VAR = {
          className: "variable",
          begin: /[$][\w\-:]+/
        };
        const NUMBER = {
          className: "number",
          begin: /(\b0[0-7_]+)|(\b0x[0-9a-fA-F_]+)|(\b[1-9][0-9_]*(\.[0-9_]+)?)|[0_]\b/,
          relevance: 0
        };
        const STRING = {
          className: "string",
          variants: [
            {
              begin: /"/,
              end: /"/,
              contains: [
                {
                  begin: /""/,
                  relevance: 0
                }
              ]
            },
            {
              begin: /'/,
              end: /'/,
              contains: [
                {
                  begin: /''/,
                  relevance: 0
                }
              ]
            }
          ]
        };
        const ANNOTATION = {
          className: "meta",
          begin: /%[\w\-:]+/
        };
        const COMMENT = {
          className: "comment",
          begin: /\(:/,
          end: /:\)/,
          relevance: 10,
          contains: [
            {
              className: "doctag",
              begin: /@\w+/
            }
          ]
        };
        const COMPUTED = {
          beginKeywords: "element attribute comment document processing-instruction",
          end: /\{/,
          excludeEnd: true
        };
        const DIRECT = {
          begin: /<([\w._:-]+)(\s+\S*=('|").*('|"))?>/,
          end: /(\/[\w._:-]+>)/,
          subLanguage: "xml",
          contains: [
            {
              begin: /\{/,
              end: /\}/,
              subLanguage: "xquery"
            },
            "self"
          ]
        };
        const CONTAINS = [
          VAR,
          BUILT_IN,
          STRING,
          NUMBER,
          COMMENT,
          ANNOTATION,
          TITLE,
          COMPUTED,
          DIRECT
        ];
        return {
          name: "XQuery",
          aliases: [
            "xpath",
            "xq",
            "xqm"
          ],
          case_insensitive: false,
          illegal: /(proc)|(abstract)|(extends)|(until)|(#)/,
          keywords: {
            $pattern: /[a-zA-Z$][a-zA-Z0-9_:-]*/,
            keyword: KEYWORDS,
            type: TYPES,
            literal: LITERALS
          },
          contains: CONTAINS
        };
      }
      module.exports = xquery;
    }
  });

  // node_modules/highlight.js/lib/languages/zephir.js
  var require_zephir = __commonJS({
    "node_modules/highlight.js/lib/languages/zephir.js"(exports, module) {
      function zephir(hljs) {
        const STRING = {
          className: "string",
          contains: [hljs.BACKSLASH_ESCAPE],
          variants: [
            hljs.inherit(hljs.APOS_STRING_MODE, { illegal: null }),
            hljs.inherit(hljs.QUOTE_STRING_MODE, { illegal: null })
          ]
        };
        const TITLE_MODE = hljs.UNDERSCORE_TITLE_MODE;
        const NUMBER = { variants: [
          hljs.BINARY_NUMBER_MODE,
          hljs.C_NUMBER_MODE
        ] };
        const KEYWORDS = (
          // classes and objects
          "namespace class interface use extends function return abstract final public protected private static deprecated throw try catch Exception echo empty isset instanceof unset let var new const self require if else elseif switch case default do while loop for continue break likely unlikely __LINE__ __FILE__ __DIR__ __FUNCTION__ __CLASS__ __TRAIT__ __METHOD__ __NAMESPACE__ array boolean float double integer object resource string char long unsigned bool int uint ulong uchar true false null undefined"
        );
        return {
          name: "Zephir",
          aliases: ["zep"],
          keywords: KEYWORDS,
          contains: [
            hljs.C_LINE_COMMENT_MODE,
            hljs.COMMENT(
              /\/\*/,
              /\*\//,
              { contains: [
                {
                  className: "doctag",
                  begin: /@[A-Za-z]+/
                }
              ] }
            ),
            {
              className: "string",
              begin: /<<<['"]?\w+['"]?$/,
              end: /^\w+;/,
              contains: [hljs.BACKSLASH_ESCAPE]
            },
            {
              // swallow composed identifiers to avoid parsing them as keywords
              begin: /(::|->)+[a-zA-Z_\x7f-\xff][a-zA-Z0-9_\x7f-\xff]*/
            },
            {
              className: "function",
              beginKeywords: "function fn",
              end: /[;{]/,
              excludeEnd: true,
              illegal: /\$|\[|%/,
              contains: [
                TITLE_MODE,
                {
                  className: "params",
                  begin: /\(/,
                  end: /\)/,
                  keywords: KEYWORDS,
                  contains: [
                    "self",
                    hljs.C_BLOCK_COMMENT_MODE,
                    STRING,
                    NUMBER
                  ]
                }
              ]
            },
            {
              className: "class",
              beginKeywords: "class interface",
              end: /\{/,
              excludeEnd: true,
              illegal: /[:($"]/,
              contains: [
                { beginKeywords: "extends implements" },
                TITLE_MODE
              ]
            },
            {
              beginKeywords: "namespace",
              end: /;/,
              illegal: /[.']/,
              contains: [TITLE_MODE]
            },
            {
              beginKeywords: "use",
              end: /;/,
              contains: [TITLE_MODE]
            },
            {
              begin: /=>/
              // No markup, just a relevance booster
            },
            STRING,
            NUMBER
          ]
        };
      }
      module.exports = zephir;
    }
  });

  // node_modules/highlight.js/lib/index.js
  var require_lib = __commonJS({
    "node_modules/highlight.js/lib/index.js"(exports, module) {
      var hljs = require_core();
      hljs.registerLanguage("1c", require_c());
      hljs.registerLanguage("abnf", require_abnf());
      hljs.registerLanguage("accesslog", require_accesslog());
      hljs.registerLanguage("actionscript", require_actionscript());
      hljs.registerLanguage("ada", require_ada());
      hljs.registerLanguage("angelscript", require_angelscript());
      hljs.registerLanguage("apache", require_apache());
      hljs.registerLanguage("applescript", require_applescript());
      hljs.registerLanguage("arcade", require_arcade());
      hljs.registerLanguage("arduino", require_arduino());
      hljs.registerLanguage("armasm", require_armasm());
      hljs.registerLanguage("xml", require_xml());
      hljs.registerLanguage("asciidoc", require_asciidoc());
      hljs.registerLanguage("aspectj", require_aspectj());
      hljs.registerLanguage("autohotkey", require_autohotkey());
      hljs.registerLanguage("autoit", require_autoit());
      hljs.registerLanguage("avrasm", require_avrasm());
      hljs.registerLanguage("awk", require_awk());
      hljs.registerLanguage("axapta", require_axapta());
      hljs.registerLanguage("bash", require_bash());
      hljs.registerLanguage("basic", require_basic());
      hljs.registerLanguage("bnf", require_bnf());
      hljs.registerLanguage("brainfuck", require_brainfuck());
      hljs.registerLanguage("c", require_c2());
      hljs.registerLanguage("cal", require_cal());
      hljs.registerLanguage("capnproto", require_capnproto());
      hljs.registerLanguage("ceylon", require_ceylon());
      hljs.registerLanguage("clean", require_clean());
      hljs.registerLanguage("clojure", require_clojure());
      hljs.registerLanguage("clojure-repl", require_clojure_repl());
      hljs.registerLanguage("cmake", require_cmake());
      hljs.registerLanguage("coffeescript", require_coffeescript());
      hljs.registerLanguage("coq", require_coq());
      hljs.registerLanguage("cos", require_cos());
      hljs.registerLanguage("cpp", require_cpp());
      hljs.registerLanguage("crmsh", require_crmsh());
      hljs.registerLanguage("crystal", require_crystal());
      hljs.registerLanguage("csharp", require_csharp());
      hljs.registerLanguage("csp", require_csp());
      hljs.registerLanguage("css", require_css());
      hljs.registerLanguage("d", require_d());
      hljs.registerLanguage("markdown", require_markdown());
      hljs.registerLanguage("dart", require_dart());
      hljs.registerLanguage("delphi", require_delphi());
      hljs.registerLanguage("diff", require_diff());
      hljs.registerLanguage("django", require_django());
      hljs.registerLanguage("dns", require_dns());
      hljs.registerLanguage("dockerfile", require_dockerfile());
      hljs.registerLanguage("dos", require_dos());
      hljs.registerLanguage("dsconfig", require_dsconfig());
      hljs.registerLanguage("dts", require_dts());
      hljs.registerLanguage("dust", require_dust());
      hljs.registerLanguage("ebnf", require_ebnf());
      hljs.registerLanguage("elixir", require_elixir());
      hljs.registerLanguage("elm", require_elm());
      hljs.registerLanguage("ruby", require_ruby());
      hljs.registerLanguage("erb", require_erb());
      hljs.registerLanguage("erlang-repl", require_erlang_repl());
      hljs.registerLanguage("erlang", require_erlang());
      hljs.registerLanguage("excel", require_excel());
      hljs.registerLanguage("fix", require_fix());
      hljs.registerLanguage("flix", require_flix());
      hljs.registerLanguage("fortran", require_fortran());
      hljs.registerLanguage("fsharp", require_fsharp());
      hljs.registerLanguage("gams", require_gams());
      hljs.registerLanguage("gauss", require_gauss());
      hljs.registerLanguage("gcode", require_gcode());
      hljs.registerLanguage("gherkin", require_gherkin());
      hljs.registerLanguage("glsl", require_glsl());
      hljs.registerLanguage("gml", require_gml());
      hljs.registerLanguage("go", require_go());
      hljs.registerLanguage("golo", require_golo());
      hljs.registerLanguage("gradle", require_gradle());
      hljs.registerLanguage("graphql", require_graphql());
      hljs.registerLanguage("groovy", require_groovy());
      hljs.registerLanguage("haml", require_haml());
      hljs.registerLanguage("handlebars", require_handlebars());
      hljs.registerLanguage("haskell", require_haskell());
      hljs.registerLanguage("haxe", require_haxe());
      hljs.registerLanguage("hsp", require_hsp());
      hljs.registerLanguage("http", require_http());
      hljs.registerLanguage("hy", require_hy());
      hljs.registerLanguage("inform7", require_inform7());
      hljs.registerLanguage("ini", require_ini());
      hljs.registerLanguage("irpf90", require_irpf90());
      hljs.registerLanguage("isbl", require_isbl());
      hljs.registerLanguage("java", require_java());
      hljs.registerLanguage("javascript", require_javascript());
      hljs.registerLanguage("jboss-cli", require_jboss_cli());
      hljs.registerLanguage("json", require_json());
      hljs.registerLanguage("julia", require_julia());
      hljs.registerLanguage("julia-repl", require_julia_repl());
      hljs.registerLanguage("kotlin", require_kotlin());
      hljs.registerLanguage("lasso", require_lasso());
      hljs.registerLanguage("latex", require_latex());
      hljs.registerLanguage("ldif", require_ldif());
      hljs.registerLanguage("leaf", require_leaf());
      hljs.registerLanguage("less", require_less());
      hljs.registerLanguage("lisp", require_lisp());
      hljs.registerLanguage("livecodeserver", require_livecodeserver());
      hljs.registerLanguage("livescript", require_livescript());
      hljs.registerLanguage("llvm", require_llvm());
      hljs.registerLanguage("lsl", require_lsl());
      hljs.registerLanguage("lua", require_lua());
      hljs.registerLanguage("makefile", require_makefile());
      hljs.registerLanguage("mathematica", require_mathematica());
      hljs.registerLanguage("matlab", require_matlab());
      hljs.registerLanguage("maxima", require_maxima());
      hljs.registerLanguage("mel", require_mel());
      hljs.registerLanguage("mercury", require_mercury());
      hljs.registerLanguage("mipsasm", require_mipsasm());
      hljs.registerLanguage("mizar", require_mizar());
      hljs.registerLanguage("perl", require_perl());
      hljs.registerLanguage("mojolicious", require_mojolicious());
      hljs.registerLanguage("monkey", require_monkey());
      hljs.registerLanguage("moonscript", require_moonscript());
      hljs.registerLanguage("n1ql", require_n1ql());
      hljs.registerLanguage("nestedtext", require_nestedtext());
      hljs.registerLanguage("nginx", require_nginx());
      hljs.registerLanguage("nim", require_nim());
      hljs.registerLanguage("nix", require_nix());
      hljs.registerLanguage("node-repl", require_node_repl());
      hljs.registerLanguage("nsis", require_nsis());
      hljs.registerLanguage("objectivec", require_objectivec());
      hljs.registerLanguage("ocaml", require_ocaml());
      hljs.registerLanguage("openscad", require_openscad());
      hljs.registerLanguage("oxygene", require_oxygene());
      hljs.registerLanguage("parser3", require_parser3());
      hljs.registerLanguage("pf", require_pf());
      hljs.registerLanguage("pgsql", require_pgsql());
      hljs.registerLanguage("php", require_php());
      hljs.registerLanguage("php-template", require_php_template());
      hljs.registerLanguage("plaintext", require_plaintext());
      hljs.registerLanguage("pony", require_pony());
      hljs.registerLanguage("powershell", require_powershell());
      hljs.registerLanguage("processing", require_processing());
      hljs.registerLanguage("profile", require_profile());
      hljs.registerLanguage("prolog", require_prolog());
      hljs.registerLanguage("properties", require_properties());
      hljs.registerLanguage("protobuf", require_protobuf());
      hljs.registerLanguage("puppet", require_puppet());
      hljs.registerLanguage("purebasic", require_purebasic());
      hljs.registerLanguage("python", require_python());
      hljs.registerLanguage("python-repl", require_python_repl());
      hljs.registerLanguage("q", require_q());
      hljs.registerLanguage("qml", require_qml());
      hljs.registerLanguage("r", require_r());
      hljs.registerLanguage("reasonml", require_reasonml());
      hljs.registerLanguage("rib", require_rib());
      hljs.registerLanguage("roboconf", require_roboconf());
      hljs.registerLanguage("routeros", require_routeros());
      hljs.registerLanguage("rsl", require_rsl());
      hljs.registerLanguage("ruleslanguage", require_ruleslanguage());
      hljs.registerLanguage("rust", require_rust());
      hljs.registerLanguage("sas", require_sas());
      hljs.registerLanguage("scala", require_scala());
      hljs.registerLanguage("scheme", require_scheme());
      hljs.registerLanguage("scilab", require_scilab());
      hljs.registerLanguage("scss", require_scss());
      hljs.registerLanguage("shell", require_shell());
      hljs.registerLanguage("smali", require_smali());
      hljs.registerLanguage("smalltalk", require_smalltalk());
      hljs.registerLanguage("sml", require_sml());
      hljs.registerLanguage("sqf", require_sqf());
      hljs.registerLanguage("sql", require_sql());
      hljs.registerLanguage("stan", require_stan());
      hljs.registerLanguage("stata", require_stata());
      hljs.registerLanguage("step21", require_step21());
      hljs.registerLanguage("stylus", require_stylus());
      hljs.registerLanguage("subunit", require_subunit());
      hljs.registerLanguage("swift", require_swift());
      hljs.registerLanguage("taggerscript", require_taggerscript());
      hljs.registerLanguage("yaml", require_yaml());
      hljs.registerLanguage("tap", require_tap());
      hljs.registerLanguage("tcl", require_tcl());
      hljs.registerLanguage("thrift", require_thrift());
      hljs.registerLanguage("tp", require_tp());
      hljs.registerLanguage("twig", require_twig());
      hljs.registerLanguage("typescript", require_typescript());
      hljs.registerLanguage("vala", require_vala());
      hljs.registerLanguage("vbnet", require_vbnet());
      hljs.registerLanguage("vbscript", require_vbscript());
      hljs.registerLanguage("vbscript-html", require_vbscript_html());
      hljs.registerLanguage("verilog", require_verilog());
      hljs.registerLanguage("vhdl", require_vhdl());
      hljs.registerLanguage("vim", require_vim());
      hljs.registerLanguage("wasm", require_wasm());
      hljs.registerLanguage("wren", require_wren());
      hljs.registerLanguage("x86asm", require_x86asm());
      hljs.registerLanguage("xl", require_xl());
      hljs.registerLanguage("xquery", require_xquery());
      hljs.registerLanguage("zephir", require_zephir());
      hljs.HighlightJS = hljs;
      hljs.default = hljs;
      module.exports = hljs;
    }
  });

  // node_modules/marked/lib/marked.esm.js
  function L() {
    return { async: false, breaks: false, extensions: null, gfm: true, hooks: null, pedantic: false, renderer: null, silent: false, tokenizer: null, walkTokens: null };
  }
  var O = L();
  function H(l3) {
    O = l3;
  }
  var E = { exec: () => null };
  function h(l3, e = "") {
    let t = typeof l3 == "string" ? l3 : l3.source, n = { replace: (r, i) => {
      let s = typeof i == "string" ? i : i.source;
      return s = s.replace(m.caret, "$1"), t = t.replace(r, s), n;
    }, getRegex: () => new RegExp(t, e) };
    return n;
  }
  var m = { codeRemoveIndent: /^(?: {1,4}| {0,3}\t)/gm, outputLinkReplace: /\\([\[\]])/g, indentCodeCompensation: /^(\s+)(?:```)/, beginningSpace: /^\s+/, endingHash: /#$/, startingSpaceChar: /^ /, endingSpaceChar: / $/, nonSpaceChar: /[^ ]/, newLineCharGlobal: /\n/g, tabCharGlobal: /\t/g, multipleSpaceGlobal: /\s+/g, blankLine: /^[ \t]*$/, doubleBlankLine: /\n[ \t]*\n[ \t]*$/, blockquoteStart: /^ {0,3}>/, blockquoteSetextReplace: /\n {0,3}((?:=+|-+) *)(?=\n|$)/g, blockquoteSetextReplace2: /^ {0,3}>[ \t]?/gm, listReplaceTabs: /^\t+/, listReplaceNesting: /^ {1,4}(?=( {4})*[^ ])/g, listIsTask: /^\[[ xX]\] /, listReplaceTask: /^\[[ xX]\] +/, anyLine: /\n.*\n/, hrefBrackets: /^<(.*)>$/, tableDelimiter: /[:|]/, tableAlignChars: /^\||\| *$/g, tableRowBlankLine: /\n[ \t]*$/, tableAlignRight: /^ *-+: *$/, tableAlignCenter: /^ *:-+: *$/, tableAlignLeft: /^ *:-+ *$/, startATag: /^<a /i, endATag: /^<\/a>/i, startPreScriptTag: /^<(pre|code|kbd|script)(\s|>)/i, endPreScriptTag: /^<\/(pre|code|kbd|script)(\s|>)/i, startAngleBracket: /^</, endAngleBracket: />$/, pedanticHrefTitle: /^([^'"]*[^\s])\s+(['"])(.*)\2/, unicodeAlphaNumeric: /[\p{L}\p{N}]/u, escapeTest: /[&<>"']/, escapeReplace: /[&<>"']/g, escapeTestNoEncode: /[<>"']|&(?!(#\d{1,7}|#[Xx][a-fA-F0-9]{1,6}|\w+);)/, escapeReplaceNoEncode: /[<>"']|&(?!(#\d{1,7}|#[Xx][a-fA-F0-9]{1,6}|\w+);)/g, unescapeTest: /&(#(?:\d+)|(?:#x[0-9A-Fa-f]+)|(?:\w+));?/ig, caret: /(^|[^\[])\^/g, percentDecode: /%25/g, findPipe: /\|/g, splitPipe: / \|/, slashPipe: /\\\|/g, carriageReturn: /\r\n|\r/g, spaceLine: /^ +$/gm, notSpaceStart: /^\S*/, endingNewline: /\n$/, listItemRegex: (l3) => new RegExp(`^( {0,3}${l3})((?:[	 ][^\\n]*)?(?:\\n|$))`), nextBulletRegex: (l3) => new RegExp(`^ {0,${Math.min(3, l3 - 1)}}(?:[*+-]|\\d{1,9}[.)])((?:[ 	][^\\n]*)?(?:\\n|$))`), hrRegex: (l3) => new RegExp(`^ {0,${Math.min(3, l3 - 1)}}((?:- *){3,}|(?:_ *){3,}|(?:\\* *){3,})(?:\\n+|$)`), fencesBeginRegex: (l3) => new RegExp(`^ {0,${Math.min(3, l3 - 1)}}(?:\`\`\`|~~~)`), headingBeginRegex: (l3) => new RegExp(`^ {0,${Math.min(3, l3 - 1)}}#`), htmlBeginRegex: (l3) => new RegExp(`^ {0,${Math.min(3, l3 - 1)}}<(?:[a-z].*>|!--)`, "i") };
  var xe = /^(?:[ \t]*(?:\n|$))+/;
  var be = /^((?: {4}| {0,3}\t)[^\n]+(?:\n(?:[ \t]*(?:\n|$))*)?)+/;
  var Re = /^ {0,3}(`{3,}(?=[^`\n]*(?:\n|$))|~{3,})([^\n]*)(?:\n|$)(?:|([\s\S]*?)(?:\n|$))(?: {0,3}\1[~`]* *(?=\n|$)|$)/;
  var C = /^ {0,3}((?:-[\t ]*){3,}|(?:_[ \t]*){3,}|(?:\*[ \t]*){3,})(?:\n+|$)/;
  var Oe = /^ {0,3}(#{1,6})(?=\s|$)(.*)(?:\n+|$)/;
  var j = /(?:[*+-]|\d{1,9}[.)])/;
  var se = /^(?!bull |blockCode|fences|blockquote|heading|html|table)((?:.|\n(?!\s*?\n|bull |blockCode|fences|blockquote|heading|html|table))+?)\n {0,3}(=+|-+) *(?:\n+|$)/;
  var ie = h(se).replace(/bull/g, j).replace(/blockCode/g, /(?: {4}| {0,3}\t)/).replace(/fences/g, / {0,3}(?:`{3,}|~{3,})/).replace(/blockquote/g, / {0,3}>/).replace(/heading/g, / {0,3}#{1,6}/).replace(/html/g, / {0,3}<[^\n>]+>\n/).replace(/\|table/g, "").getRegex();
  var Te = h(se).replace(/bull/g, j).replace(/blockCode/g, /(?: {4}| {0,3}\t)/).replace(/fences/g, / {0,3}(?:`{3,}|~{3,})/).replace(/blockquote/g, / {0,3}>/).replace(/heading/g, / {0,3}#{1,6}/).replace(/html/g, / {0,3}<[^\n>]+>\n/).replace(/table/g, / {0,3}\|?(?:[:\- ]*\|)+[\:\- ]*\n/).getRegex();
  var F = /^([^\n]+(?:\n(?!hr|heading|lheading|blockquote|fences|list|html|table| +\n)[^\n]+)*)/;
  var we = /^[^\n]+/;
  var Q = /(?!\s*\])(?:\\.|[^\[\]\\])+/;
  var ye = h(/^ {0,3}\[(label)\]: *(?:\n[ \t]*)?([^<\s][^\s]*|<.*?>)(?:(?: +(?:\n[ \t]*)?| *\n[ \t]*)(title))? *(?:\n+|$)/).replace("label", Q).replace("title", /(?:"(?:\\"?|[^"\\])*"|'[^'\n]*(?:\n[^'\n]+)*\n?'|\([^()]*\))/).getRegex();
  var Pe = h(/^( {0,3}bull)([ \t][^\n]+?)?(?:\n|$)/).replace(/bull/g, j).getRegex();
  var v = "address|article|aside|base|basefont|blockquote|body|caption|center|col|colgroup|dd|details|dialog|dir|div|dl|dt|fieldset|figcaption|figure|footer|form|frame|frameset|h[1-6]|head|header|hr|html|iframe|legend|li|link|main|menu|menuitem|meta|nav|noframes|ol|optgroup|option|p|param|search|section|summary|table|tbody|td|tfoot|th|thead|title|tr|track|ul";
  var U = /<!--(?:-?>|[\s\S]*?(?:-->|$))/;
  var Se = h("^ {0,3}(?:<(script|pre|style|textarea)[\\s>][\\s\\S]*?(?:</\\1>[^\\n]*\\n+|$)|comment[^\\n]*(\\n+|$)|<\\?[\\s\\S]*?(?:\\?>\\n*|$)|<![A-Z][\\s\\S]*?(?:>\\n*|$)|<!\\[CDATA\\[[\\s\\S]*?(?:\\]\\]>\\n*|$)|</?(tag)(?: +|\\n|/?>)[\\s\\S]*?(?:(?:\\n[ 	]*)+\\n|$)|<(?!script|pre|style|textarea)([a-z][\\w-]*)(?:attribute)*? */?>(?=[ \\t]*(?:\\n|$))[\\s\\S]*?(?:(?:\\n[ 	]*)+\\n|$)|</(?!script|pre|style|textarea)[a-z][\\w-]*\\s*>(?=[ \\t]*(?:\\n|$))[\\s\\S]*?(?:(?:\\n[ 	]*)+\\n|$))", "i").replace("comment", U).replace("tag", v).replace("attribute", / +[a-zA-Z:_][\w.:-]*(?: *= *"[^"\n]*"| *= *'[^'\n]*'| *= *[^\s"'=<>`]+)?/).getRegex();
  var oe = h(F).replace("hr", C).replace("heading", " {0,3}#{1,6}(?:\\s|$)").replace("|lheading", "").replace("|table", "").replace("blockquote", " {0,3}>").replace("fences", " {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list", " {0,3}(?:[*+-]|1[.)]) ").replace("html", "</?(?:tag)(?: +|\\n|/?>)|<(?:script|pre|style|textarea|!--)").replace("tag", v).getRegex();
  var $e = h(/^( {0,3}> ?(paragraph|[^\n]*)(?:\n|$))+/).replace("paragraph", oe).getRegex();
  var K = { blockquote: $e, code: be, def: ye, fences: Re, heading: Oe, hr: C, html: Se, lheading: ie, list: Pe, newline: xe, paragraph: oe, table: E, text: we };
  var re = h("^ *([^\\n ].*)\\n {0,3}((?:\\| *)?:?-+:? *(?:\\| *:?-+:? *)*(?:\\| *)?)(?:\\n((?:(?! *\\n|hr|heading|blockquote|code|fences|list|html).*(?:\\n|$))*)\\n*|$)").replace("hr", C).replace("heading", " {0,3}#{1,6}(?:\\s|$)").replace("blockquote", " {0,3}>").replace("code", "(?: {4}| {0,3}	)[^\\n]").replace("fences", " {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list", " {0,3}(?:[*+-]|1[.)]) ").replace("html", "</?(?:tag)(?: +|\\n|/?>)|<(?:script|pre|style|textarea|!--)").replace("tag", v).getRegex();
  var _e = { ...K, lheading: Te, table: re, paragraph: h(F).replace("hr", C).replace("heading", " {0,3}#{1,6}(?:\\s|$)").replace("|lheading", "").replace("table", re).replace("blockquote", " {0,3}>").replace("fences", " {0,3}(?:`{3,}(?=[^`\\n]*\\n)|~{3,})[^\\n]*\\n").replace("list", " {0,3}(?:[*+-]|1[.)]) ").replace("html", "</?(?:tag)(?: +|\\n|/?>)|<(?:script|pre|style|textarea|!--)").replace("tag", v).getRegex() };
  var Le = { ...K, html: h(`^ *(?:comment *(?:\\n|\\s*$)|<(tag)[\\s\\S]+?</\\1> *(?:\\n{2,}|\\s*$)|<tag(?:"[^"]*"|'[^']*'|\\s[^'"/>\\s]*)*?/?> *(?:\\n{2,}|\\s*$))`).replace("comment", U).replace(/tag/g, "(?!(?:a|em|strong|small|s|cite|q|dfn|abbr|data|time|code|var|samp|kbd|sub|sup|i|b|u|mark|ruby|rt|rp|bdi|bdo|span|br|wbr|ins|del|img)\\b)\\w+(?!:|[^\\w\\s@]*@)\\b").getRegex(), def: /^ *\[([^\]]+)\]: *<?([^\s>]+)>?(?: +(["(][^\n]+[")]))? *(?:\n+|$)/, heading: /^(#{1,6})(.*)(?:\n+|$)/, fences: E, lheading: /^(.+?)\n {0,3}(=+|-+) *(?:\n+|$)/, paragraph: h(F).replace("hr", C).replace("heading", ` *#{1,6} *[^
]`).replace("lheading", ie).replace("|table", "").replace("blockquote", " {0,3}>").replace("|fences", "").replace("|list", "").replace("|html", "").replace("|tag", "").getRegex() };
  var Me = /^\\([!"#$%&'()*+,\-./:;<=>?@\[\]\\^_`{|}~])/;
  var ze = /^(`+)([^`]|[^`][\s\S]*?[^`])\1(?!`)/;
  var ae = /^( {2,}|\\)\n(?!\s*$)/;
  var Ae = /^(`+|[^`])(?:(?= {2,}\n)|[\s\S]*?(?:(?=[\\<!\[`*_]|\b_|$)|[^ ](?= {2,}\n)))/;
  var D = /[\p{P}\p{S}]/u;
  var W = /[\s\p{P}\p{S}]/u;
  var le = /[^\s\p{P}\p{S}]/u;
  var Ee = h(/^((?![*_])punctSpace)/, "u").replace(/punctSpace/g, W).getRegex();
  var ue = /(?!~)[\p{P}\p{S}]/u;
  var Ce = /(?!~)[\s\p{P}\p{S}]/u;
  var Ie = /(?:[^\s\p{P}\p{S}]|~)/u;
  var Be = /\[[^[\]]*?\]\((?:\\.|[^\\\(\)]|\((?:\\.|[^\\\(\)])*\))*\)|`[^`]*?`|<(?! )[^<>]*?>/g;
  var pe = /^(?:\*+(?:((?!\*)punct)|[^\s*]))|^_+(?:((?!_)punct)|([^\s_]))/;
  var qe = h(pe, "u").replace(/punct/g, D).getRegex();
  var ve = h(pe, "u").replace(/punct/g, ue).getRegex();
  var ce = "^[^_*]*?__[^_*]*?\\*[^_*]*?(?=__)|[^*]+(?=[^*])|(?!\\*)punct(\\*+)(?=[\\s]|$)|notPunctSpace(\\*+)(?!\\*)(?=punctSpace|$)|(?!\\*)punctSpace(\\*+)(?=notPunctSpace)|[\\s](\\*+)(?!\\*)(?=punct)|(?!\\*)punct(\\*+)(?!\\*)(?=punct)|notPunctSpace(\\*+)(?=notPunctSpace)";
  var De = h(ce, "gu").replace(/notPunctSpace/g, le).replace(/punctSpace/g, W).replace(/punct/g, D).getRegex();
  var Ze = h(ce, "gu").replace(/notPunctSpace/g, Ie).replace(/punctSpace/g, Ce).replace(/punct/g, ue).getRegex();
  var Ge = h("^[^_*]*?\\*\\*[^_*]*?_[^_*]*?(?=\\*\\*)|[^_]+(?=[^_])|(?!_)punct(_+)(?=[\\s]|$)|notPunctSpace(_+)(?!_)(?=punctSpace|$)|(?!_)punctSpace(_+)(?=notPunctSpace)|[\\s](_+)(?!_)(?=punct)|(?!_)punct(_+)(?!_)(?=punct)", "gu").replace(/notPunctSpace/g, le).replace(/punctSpace/g, W).replace(/punct/g, D).getRegex();
  var He = h(/\\(punct)/, "gu").replace(/punct/g, D).getRegex();
  var Ne = h(/^<(scheme:[^\s\x00-\x1f<>]*|email)>/).replace("scheme", /[a-zA-Z][a-zA-Z0-9+.-]{1,31}/).replace("email", /[a-zA-Z0-9.!#$%&'*+/=?^_`{|}~-]+(@)[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?(?:\.[a-zA-Z0-9](?:[a-zA-Z0-9-]{0,61}[a-zA-Z0-9])?)+(?![-_])/).getRegex();
  var je = h(U).replace("(?:-->|$)", "-->").getRegex();
  var Fe = h("^comment|^</[a-zA-Z][\\w:-]*\\s*>|^<[a-zA-Z][\\w-]*(?:attribute)*?\\s*/?>|^<\\?[\\s\\S]*?\\?>|^<![a-zA-Z]+\\s[\\s\\S]*?>|^<!\\[CDATA\\[[\\s\\S]*?\\]\\]>").replace("comment", je).replace("attribute", /\s+[a-zA-Z:_][\w.:-]*(?:\s*=\s*"[^"]*"|\s*=\s*'[^']*'|\s*=\s*[^\s"'=<>`]+)?/).getRegex();
  var q = /(?:\[(?:\\.|[^\[\]\\])*\]|\\.|`[^`]*`|[^\[\]\\`])*?/;
  var Qe = h(/^!?\[(label)\]\(\s*(href)(?:(?:[ \t]*(?:\n[ \t]*)?)(title))?\s*\)/).replace("label", q).replace("href", /<(?:\\.|[^\n<>\\])+>|[^ \t\n\x00-\x1f]*/).replace("title", /"(?:\\"?|[^"\\])*"|'(?:\\'?|[^'\\])*'|\((?:\\\)?|[^)\\])*\)/).getRegex();
  var he = h(/^!?\[(label)\]\[(ref)\]/).replace("label", q).replace("ref", Q).getRegex();
  var de = h(/^!?\[(ref)\](?:\[\])?/).replace("ref", Q).getRegex();
  var Ue = h("reflink|nolink(?!\\()", "g").replace("reflink", he).replace("nolink", de).getRegex();
  var X = { _backpedal: E, anyPunctuation: He, autolink: Ne, blockSkip: Be, br: ae, code: ze, del: E, emStrongLDelim: qe, emStrongRDelimAst: De, emStrongRDelimUnd: Ge, escape: Me, link: Qe, nolink: de, punctuation: Ee, reflink: he, reflinkSearch: Ue, tag: Fe, text: Ae, url: E };
  var Ke = { ...X, link: h(/^!?\[(label)\]\((.*?)\)/).replace("label", q).getRegex(), reflink: h(/^!?\[(label)\]\s*\[([^\]]*)\]/).replace("label", q).getRegex() };
  var N = { ...X, emStrongRDelimAst: Ze, emStrongLDelim: ve, url: h(/^((?:ftp|https?):\/\/|www\.)(?:[a-zA-Z0-9\-]+\.?)+[^\s<]*|^email/, "i").replace("email", /[A-Za-z0-9._+-]+(@)[a-zA-Z0-9-_]+(?:\.[a-zA-Z0-9-_]*[a-zA-Z0-9])+(?![-_])/).getRegex(), _backpedal: /(?:[^?!.,:;*_'"~()&]+|\([^)]*\)|&(?![a-zA-Z0-9]+;$)|[?!.,:;*_'"~)]+(?!$))+/, del: /^(~~?)(?=[^\s~])((?:\\.|[^\\])*?(?:\\.|[^\s~\\]))\1(?=[^~]|$)/, text: /^([`~]+|[^`~])(?:(?= {2,}\n)|(?=[a-zA-Z0-9.!#$%&'*+\/=?_`{\|}~-]+@)|[\s\S]*?(?:(?=[\\<!\[`*~_]|\b_|https?:\/\/|ftp:\/\/|www\.|$)|[^ ](?= {2,}\n)|[^a-zA-Z0-9.!#$%&'*+\/=?_`{\|}~-](?=[a-zA-Z0-9.!#$%&'*+\/=?_`{\|}~-]+@)))/ };
  var We = { ...N, br: h(ae).replace("{2,}", "*").getRegex(), text: h(N.text).replace("\\b_", "\\b_| {2,}\\n").replace(/\{2,\}/g, "*").getRegex() };
  var I = { normal: K, gfm: _e, pedantic: Le };
  var M = { normal: X, gfm: N, breaks: We, pedantic: Ke };
  var Xe = { "&": "&amp;", "<": "&lt;", ">": "&gt;", '"': "&quot;", "'": "&#39;" };
  var ke = (l3) => Xe[l3];
  function w(l3, e) {
    if (e) {
      if (m.escapeTest.test(l3)) return l3.replace(m.escapeReplace, ke);
    } else if (m.escapeTestNoEncode.test(l3)) return l3.replace(m.escapeReplaceNoEncode, ke);
    return l3;
  }
  function J(l3) {
    try {
      l3 = encodeURI(l3).replace(m.percentDecode, "%");
    } catch {
      return null;
    }
    return l3;
  }
  function V(l3, e) {
    let t = l3.replace(m.findPipe, (i, s, o) => {
      let a = false, u = s;
      for (; --u >= 0 && o[u] === "\\"; ) a = !a;
      return a ? "|" : " |";
    }), n = t.split(m.splitPipe), r = 0;
    if (n[0].trim() || n.shift(), n.length > 0 && !n.at(-1)?.trim() && n.pop(), e) if (n.length > e) n.splice(e);
    else for (; n.length < e; ) n.push("");
    for (; r < n.length; r++) n[r] = n[r].trim().replace(m.slashPipe, "|");
    return n;
  }
  function z(l3, e, t) {
    let n = l3.length;
    if (n === 0) return "";
    let r = 0;
    for (; r < n; ) {
      let i = l3.charAt(n - r - 1);
      if (i === e && !t) r++;
      else if (i !== e && t) r++;
      else break;
    }
    return l3.slice(0, n - r);
  }
  function ge(l3, e) {
    if (l3.indexOf(e[1]) === -1) return -1;
    let t = 0;
    for (let n = 0; n < l3.length; n++) if (l3[n] === "\\") n++;
    else if (l3[n] === e[0]) t++;
    else if (l3[n] === e[1] && (t--, t < 0)) return n;
    return t > 0 ? -2 : -1;
  }
  function fe(l3, e, t, n, r) {
    let i = e.href, s = e.title || null, o = l3[1].replace(r.other.outputLinkReplace, "$1");
    n.state.inLink = true;
    let a = { type: l3[0].charAt(0) === "!" ? "image" : "link", raw: t, href: i, title: s, text: o, tokens: n.inlineTokens(o) };
    return n.state.inLink = false, a;
  }
  function Je(l3, e, t) {
    let n = l3.match(t.other.indentCodeCompensation);
    if (n === null) return e;
    let r = n[1];
    return e.split(`
`).map((i) => {
      let s = i.match(t.other.beginningSpace);
      if (s === null) return i;
      let [o] = s;
      return o.length >= r.length ? i.slice(r.length) : i;
    }).join(`
`);
  }
  var y = class {
    options;
    rules;
    lexer;
    constructor(e) {
      this.options = e || O;
    }
    space(e) {
      let t = this.rules.block.newline.exec(e);
      if (t && t[0].length > 0) return { type: "space", raw: t[0] };
    }
    code(e) {
      let t = this.rules.block.code.exec(e);
      if (t) {
        let n = t[0].replace(this.rules.other.codeRemoveIndent, "");
        return { type: "code", raw: t[0], codeBlockStyle: "indented", text: this.options.pedantic ? n : z(n, `
`) };
      }
    }
    fences(e) {
      let t = this.rules.block.fences.exec(e);
      if (t) {
        let n = t[0], r = Je(n, t[3] || "", this.rules);
        return { type: "code", raw: n, lang: t[2] ? t[2].trim().replace(this.rules.inline.anyPunctuation, "$1") : t[2], text: r };
      }
    }
    heading(e) {
      let t = this.rules.block.heading.exec(e);
      if (t) {
        let n = t[2].trim();
        if (this.rules.other.endingHash.test(n)) {
          let r = z(n, "#");
          (this.options.pedantic || !r || this.rules.other.endingSpaceChar.test(r)) && (n = r.trim());
        }
        return { type: "heading", raw: t[0], depth: t[1].length, text: n, tokens: this.lexer.inline(n) };
      }
    }
    hr(e) {
      let t = this.rules.block.hr.exec(e);
      if (t) return { type: "hr", raw: z(t[0], `
`) };
    }
    blockquote(e) {
      let t = this.rules.block.blockquote.exec(e);
      if (t) {
        let n = z(t[0], `
`).split(`
`), r = "", i = "", s = [];
        for (; n.length > 0; ) {
          let o = false, a = [], u;
          for (u = 0; u < n.length; u++) if (this.rules.other.blockquoteStart.test(n[u])) a.push(n[u]), o = true;
          else if (!o) a.push(n[u]);
          else break;
          n = n.slice(u);
          let p = a.join(`
`), c = p.replace(this.rules.other.blockquoteSetextReplace, `
    $1`).replace(this.rules.other.blockquoteSetextReplace2, "");
          r = r ? `${r}
${p}` : p, i = i ? `${i}
${c}` : c;
          let f = this.lexer.state.top;
          if (this.lexer.state.top = true, this.lexer.blockTokens(c, s, true), this.lexer.state.top = f, n.length === 0) break;
          let k = s.at(-1);
          if (k?.type === "code") break;
          if (k?.type === "blockquote") {
            let x = k, g = x.raw + `
` + n.join(`
`), T = this.blockquote(g);
            s[s.length - 1] = T, r = r.substring(0, r.length - x.raw.length) + T.raw, i = i.substring(0, i.length - x.text.length) + T.text;
            break;
          } else if (k?.type === "list") {
            let x = k, g = x.raw + `
` + n.join(`
`), T = this.list(g);
            s[s.length - 1] = T, r = r.substring(0, r.length - k.raw.length) + T.raw, i = i.substring(0, i.length - x.raw.length) + T.raw, n = g.substring(s.at(-1).raw.length).split(`
`);
            continue;
          }
        }
        return { type: "blockquote", raw: r, tokens: s, text: i };
      }
    }
    list(e) {
      let t = this.rules.block.list.exec(e);
      if (t) {
        let n = t[1].trim(), r = n.length > 1, i = { type: "list", raw: "", ordered: r, start: r ? +n.slice(0, -1) : "", loose: false, items: [] };
        n = r ? `\\d{1,9}\\${n.slice(-1)}` : `\\${n}`, this.options.pedantic && (n = r ? n : "[*+-]");
        let s = this.rules.other.listItemRegex(n), o = false;
        for (; e; ) {
          let u = false, p = "", c = "";
          if (!(t = s.exec(e)) || this.rules.block.hr.test(e)) break;
          p = t[0], e = e.substring(p.length);
          let f = t[2].split(`
`, 1)[0].replace(this.rules.other.listReplaceTabs, (Z) => " ".repeat(3 * Z.length)), k = e.split(`
`, 1)[0], x = !f.trim(), g = 0;
          if (this.options.pedantic ? (g = 2, c = f.trimStart()) : x ? g = t[1].length + 1 : (g = t[2].search(this.rules.other.nonSpaceChar), g = g > 4 ? 1 : g, c = f.slice(g), g += t[1].length), x && this.rules.other.blankLine.test(k) && (p += k + `
`, e = e.substring(k.length + 1), u = true), !u) {
            let Z = this.rules.other.nextBulletRegex(g), ee = this.rules.other.hrRegex(g), te = this.rules.other.fencesBeginRegex(g), ne = this.rules.other.headingBeginRegex(g), me = this.rules.other.htmlBeginRegex(g);
            for (; e; ) {
              let G = e.split(`
`, 1)[0], A;
              if (k = G, this.options.pedantic ? (k = k.replace(this.rules.other.listReplaceNesting, "  "), A = k) : A = k.replace(this.rules.other.tabCharGlobal, "    "), te.test(k) || ne.test(k) || me.test(k) || Z.test(k) || ee.test(k)) break;
              if (A.search(this.rules.other.nonSpaceChar) >= g || !k.trim()) c += `
` + A.slice(g);
              else {
                if (x || f.replace(this.rules.other.tabCharGlobal, "    ").search(this.rules.other.nonSpaceChar) >= 4 || te.test(f) || ne.test(f) || ee.test(f)) break;
                c += `
` + k;
              }
              !x && !k.trim() && (x = true), p += G + `
`, e = e.substring(G.length + 1), f = A.slice(g);
            }
          }
          i.loose || (o ? i.loose = true : this.rules.other.doubleBlankLine.test(p) && (o = true));
          let T = null, Y;
          this.options.gfm && (T = this.rules.other.listIsTask.exec(c), T && (Y = T[0] !== "[ ] ", c = c.replace(this.rules.other.listReplaceTask, ""))), i.items.push({ type: "list_item", raw: p, task: !!T, checked: Y, loose: false, text: c, tokens: [] }), i.raw += p;
        }
        let a = i.items.at(-1);
        if (a) a.raw = a.raw.trimEnd(), a.text = a.text.trimEnd();
        else return;
        i.raw = i.raw.trimEnd();
        for (let u = 0; u < i.items.length; u++) if (this.lexer.state.top = false, i.items[u].tokens = this.lexer.blockTokens(i.items[u].text, []), !i.loose) {
          let p = i.items[u].tokens.filter((f) => f.type === "space"), c = p.length > 0 && p.some((f) => this.rules.other.anyLine.test(f.raw));
          i.loose = c;
        }
        if (i.loose) for (let u = 0; u < i.items.length; u++) i.items[u].loose = true;
        return i;
      }
    }
    html(e) {
      let t = this.rules.block.html.exec(e);
      if (t) return { type: "html", block: true, raw: t[0], pre: t[1] === "pre" || t[1] === "script" || t[1] === "style", text: t[0] };
    }
    def(e) {
      let t = this.rules.block.def.exec(e);
      if (t) {
        let n = t[1].toLowerCase().replace(this.rules.other.multipleSpaceGlobal, " "), r = t[2] ? t[2].replace(this.rules.other.hrefBrackets, "$1").replace(this.rules.inline.anyPunctuation, "$1") : "", i = t[3] ? t[3].substring(1, t[3].length - 1).replace(this.rules.inline.anyPunctuation, "$1") : t[3];
        return { type: "def", tag: n, raw: t[0], href: r, title: i };
      }
    }
    table(e) {
      let t = this.rules.block.table.exec(e);
      if (!t || !this.rules.other.tableDelimiter.test(t[2])) return;
      let n = V(t[1]), r = t[2].replace(this.rules.other.tableAlignChars, "").split("|"), i = t[3]?.trim() ? t[3].replace(this.rules.other.tableRowBlankLine, "").split(`
`) : [], s = { type: "table", raw: t[0], header: [], align: [], rows: [] };
      if (n.length === r.length) {
        for (let o of r) this.rules.other.tableAlignRight.test(o) ? s.align.push("right") : this.rules.other.tableAlignCenter.test(o) ? s.align.push("center") : this.rules.other.tableAlignLeft.test(o) ? s.align.push("left") : s.align.push(null);
        for (let o = 0; o < n.length; o++) s.header.push({ text: n[o], tokens: this.lexer.inline(n[o]), header: true, align: s.align[o] });
        for (let o of i) s.rows.push(V(o, s.header.length).map((a, u) => ({ text: a, tokens: this.lexer.inline(a), header: false, align: s.align[u] })));
        return s;
      }
    }
    lheading(e) {
      let t = this.rules.block.lheading.exec(e);
      if (t) return { type: "heading", raw: t[0], depth: t[2].charAt(0) === "=" ? 1 : 2, text: t[1], tokens: this.lexer.inline(t[1]) };
    }
    paragraph(e) {
      let t = this.rules.block.paragraph.exec(e);
      if (t) {
        let n = t[1].charAt(t[1].length - 1) === `
` ? t[1].slice(0, -1) : t[1];
        return { type: "paragraph", raw: t[0], text: n, tokens: this.lexer.inline(n) };
      }
    }
    text(e) {
      let t = this.rules.block.text.exec(e);
      if (t) return { type: "text", raw: t[0], text: t[0], tokens: this.lexer.inline(t[0]) };
    }
    escape(e) {
      let t = this.rules.inline.escape.exec(e);
      if (t) return { type: "escape", raw: t[0], text: t[1] };
    }
    tag(e) {
      let t = this.rules.inline.tag.exec(e);
      if (t) return !this.lexer.state.inLink && this.rules.other.startATag.test(t[0]) ? this.lexer.state.inLink = true : this.lexer.state.inLink && this.rules.other.endATag.test(t[0]) && (this.lexer.state.inLink = false), !this.lexer.state.inRawBlock && this.rules.other.startPreScriptTag.test(t[0]) ? this.lexer.state.inRawBlock = true : this.lexer.state.inRawBlock && this.rules.other.endPreScriptTag.test(t[0]) && (this.lexer.state.inRawBlock = false), { type: "html", raw: t[0], inLink: this.lexer.state.inLink, inRawBlock: this.lexer.state.inRawBlock, block: false, text: t[0] };
    }
    link(e) {
      let t = this.rules.inline.link.exec(e);
      if (t) {
        let n = t[2].trim();
        if (!this.options.pedantic && this.rules.other.startAngleBracket.test(n)) {
          if (!this.rules.other.endAngleBracket.test(n)) return;
          let s = z(n.slice(0, -1), "\\");
          if ((n.length - s.length) % 2 === 0) return;
        } else {
          let s = ge(t[2], "()");
          if (s === -2) return;
          if (s > -1) {
            let a = (t[0].indexOf("!") === 0 ? 5 : 4) + t[1].length + s;
            t[2] = t[2].substring(0, s), t[0] = t[0].substring(0, a).trim(), t[3] = "";
          }
        }
        let r = t[2], i = "";
        if (this.options.pedantic) {
          let s = this.rules.other.pedanticHrefTitle.exec(r);
          s && (r = s[1], i = s[3]);
        } else i = t[3] ? t[3].slice(1, -1) : "";
        return r = r.trim(), this.rules.other.startAngleBracket.test(r) && (this.options.pedantic && !this.rules.other.endAngleBracket.test(n) ? r = r.slice(1) : r = r.slice(1, -1)), fe(t, { href: r && r.replace(this.rules.inline.anyPunctuation, "$1"), title: i && i.replace(this.rules.inline.anyPunctuation, "$1") }, t[0], this.lexer, this.rules);
      }
    }
    reflink(e, t) {
      let n;
      if ((n = this.rules.inline.reflink.exec(e)) || (n = this.rules.inline.nolink.exec(e))) {
        let r = (n[2] || n[1]).replace(this.rules.other.multipleSpaceGlobal, " "), i = t[r.toLowerCase()];
        if (!i) {
          let s = n[0].charAt(0);
          return { type: "text", raw: s, text: s };
        }
        return fe(n, i, n[0], this.lexer, this.rules);
      }
    }
    emStrong(e, t, n = "") {
      let r = this.rules.inline.emStrongLDelim.exec(e);
      if (!r || r[3] && n.match(this.rules.other.unicodeAlphaNumeric)) return;
      if (!(r[1] || r[2] || "") || !n || this.rules.inline.punctuation.exec(n)) {
        let s = [...r[0]].length - 1, o, a, u = s, p = 0, c = r[0][0] === "*" ? this.rules.inline.emStrongRDelimAst : this.rules.inline.emStrongRDelimUnd;
        for (c.lastIndex = 0, t = t.slice(-1 * e.length + s); (r = c.exec(t)) != null; ) {
          if (o = r[1] || r[2] || r[3] || r[4] || r[5] || r[6], !o) continue;
          if (a = [...o].length, r[3] || r[4]) {
            u += a;
            continue;
          } else if ((r[5] || r[6]) && s % 3 && !((s + a) % 3)) {
            p += a;
            continue;
          }
          if (u -= a, u > 0) continue;
          a = Math.min(a, a + u + p);
          let f = [...r[0]][0].length, k = e.slice(0, s + r.index + f + a);
          if (Math.min(s, a) % 2) {
            let g = k.slice(1, -1);
            return { type: "em", raw: k, text: g, tokens: this.lexer.inlineTokens(g) };
          }
          let x = k.slice(2, -2);
          return { type: "strong", raw: k, text: x, tokens: this.lexer.inlineTokens(x) };
        }
      }
    }
    codespan(e) {
      let t = this.rules.inline.code.exec(e);
      if (t) {
        let n = t[2].replace(this.rules.other.newLineCharGlobal, " "), r = this.rules.other.nonSpaceChar.test(n), i = this.rules.other.startingSpaceChar.test(n) && this.rules.other.endingSpaceChar.test(n);
        return r && i && (n = n.substring(1, n.length - 1)), { type: "codespan", raw: t[0], text: n };
      }
    }
    br(e) {
      let t = this.rules.inline.br.exec(e);
      if (t) return { type: "br", raw: t[0] };
    }
    del(e) {
      let t = this.rules.inline.del.exec(e);
      if (t) return { type: "del", raw: t[0], text: t[2], tokens: this.lexer.inlineTokens(t[2]) };
    }
    autolink(e) {
      let t = this.rules.inline.autolink.exec(e);
      if (t) {
        let n, r;
        return t[2] === "@" ? (n = t[1], r = "mailto:" + n) : (n = t[1], r = n), { type: "link", raw: t[0], text: n, href: r, tokens: [{ type: "text", raw: n, text: n }] };
      }
    }
    url(e) {
      let t;
      if (t = this.rules.inline.url.exec(e)) {
        let n, r;
        if (t[2] === "@") n = t[0], r = "mailto:" + n;
        else {
          let i;
          do
            i = t[0], t[0] = this.rules.inline._backpedal.exec(t[0])?.[0] ?? "";
          while (i !== t[0]);
          n = t[0], t[1] === "www." ? r = "http://" + t[0] : r = t[0];
        }
        return { type: "link", raw: t[0], text: n, href: r, tokens: [{ type: "text", raw: n, text: n }] };
      }
    }
    inlineText(e) {
      let t = this.rules.inline.text.exec(e);
      if (t) {
        let n = this.lexer.state.inRawBlock;
        return { type: "text", raw: t[0], text: t[0], escaped: n };
      }
    }
  };
  var b = class l {
    tokens;
    options;
    state;
    tokenizer;
    inlineQueue;
    constructor(e) {
      this.tokens = [], this.tokens.links = /* @__PURE__ */ Object.create(null), this.options = e || O, this.options.tokenizer = this.options.tokenizer || new y(), this.tokenizer = this.options.tokenizer, this.tokenizer.options = this.options, this.tokenizer.lexer = this, this.inlineQueue = [], this.state = { inLink: false, inRawBlock: false, top: true };
      let t = { other: m, block: I.normal, inline: M.normal };
      this.options.pedantic ? (t.block = I.pedantic, t.inline = M.pedantic) : this.options.gfm && (t.block = I.gfm, this.options.breaks ? t.inline = M.breaks : t.inline = M.gfm), this.tokenizer.rules = t;
    }
    static get rules() {
      return { block: I, inline: M };
    }
    static lex(e, t) {
      return new l(t).lex(e);
    }
    static lexInline(e, t) {
      return new l(t).inlineTokens(e);
    }
    lex(e) {
      e = e.replace(m.carriageReturn, `
`), this.blockTokens(e, this.tokens);
      for (let t = 0; t < this.inlineQueue.length; t++) {
        let n = this.inlineQueue[t];
        this.inlineTokens(n.src, n.tokens);
      }
      return this.inlineQueue = [], this.tokens;
    }
    blockTokens(e, t = [], n = false) {
      for (this.options.pedantic && (e = e.replace(m.tabCharGlobal, "    ").replace(m.spaceLine, "")); e; ) {
        let r;
        if (this.options.extensions?.block?.some((s) => (r = s.call({ lexer: this }, e, t)) ? (e = e.substring(r.raw.length), t.push(r), true) : false)) continue;
        if (r = this.tokenizer.space(e)) {
          e = e.substring(r.raw.length);
          let s = t.at(-1);
          r.raw.length === 1 && s !== void 0 ? s.raw += `
` : t.push(r);
          continue;
        }
        if (r = this.tokenizer.code(e)) {
          e = e.substring(r.raw.length);
          let s = t.at(-1);
          s?.type === "paragraph" || s?.type === "text" ? (s.raw += (s.raw.endsWith(`
`) ? "" : `
`) + r.raw, s.text += `
` + r.text, this.inlineQueue.at(-1).src = s.text) : t.push(r);
          continue;
        }
        if (r = this.tokenizer.fences(e)) {
          e = e.substring(r.raw.length), t.push(r);
          continue;
        }
        if (r = this.tokenizer.heading(e)) {
          e = e.substring(r.raw.length), t.push(r);
          continue;
        }
        if (r = this.tokenizer.hr(e)) {
          e = e.substring(r.raw.length), t.push(r);
          continue;
        }
        if (r = this.tokenizer.blockquote(e)) {
          e = e.substring(r.raw.length), t.push(r);
          continue;
        }
        if (r = this.tokenizer.list(e)) {
          e = e.substring(r.raw.length), t.push(r);
          continue;
        }
        if (r = this.tokenizer.html(e)) {
          e = e.substring(r.raw.length), t.push(r);
          continue;
        }
        if (r = this.tokenizer.def(e)) {
          e = e.substring(r.raw.length);
          let s = t.at(-1);
          s?.type === "paragraph" || s?.type === "text" ? (s.raw += (s.raw.endsWith(`
`) ? "" : `
`) + r.raw, s.text += `
` + r.raw, this.inlineQueue.at(-1).src = s.text) : this.tokens.links[r.tag] || (this.tokens.links[r.tag] = { href: r.href, title: r.title }, t.push(r));
          continue;
        }
        if (r = this.tokenizer.table(e)) {
          e = e.substring(r.raw.length), t.push(r);
          continue;
        }
        if (r = this.tokenizer.lheading(e)) {
          e = e.substring(r.raw.length), t.push(r);
          continue;
        }
        let i = e;
        if (this.options.extensions?.startBlock) {
          let s = 1 / 0, o = e.slice(1), a;
          this.options.extensions.startBlock.forEach((u) => {
            a = u.call({ lexer: this }, o), typeof a == "number" && a >= 0 && (s = Math.min(s, a));
          }), s < 1 / 0 && s >= 0 && (i = e.substring(0, s + 1));
        }
        if (this.state.top && (r = this.tokenizer.paragraph(i))) {
          let s = t.at(-1);
          n && s?.type === "paragraph" ? (s.raw += (s.raw.endsWith(`
`) ? "" : `
`) + r.raw, s.text += `
` + r.text, this.inlineQueue.pop(), this.inlineQueue.at(-1).src = s.text) : t.push(r), n = i.length !== e.length, e = e.substring(r.raw.length);
          continue;
        }
        if (r = this.tokenizer.text(e)) {
          e = e.substring(r.raw.length);
          let s = t.at(-1);
          s?.type === "text" ? (s.raw += (s.raw.endsWith(`
`) ? "" : `
`) + r.raw, s.text += `
` + r.text, this.inlineQueue.pop(), this.inlineQueue.at(-1).src = s.text) : t.push(r);
          continue;
        }
        if (e) {
          let s = "Infinite loop on byte: " + e.charCodeAt(0);
          if (this.options.silent) {
            console.error(s);
            break;
          } else throw new Error(s);
        }
      }
      return this.state.top = true, t;
    }
    inline(e, t = []) {
      return this.inlineQueue.push({ src: e, tokens: t }), t;
    }
    inlineTokens(e, t = []) {
      let n = e, r = null;
      if (this.tokens.links) {
        let o = Object.keys(this.tokens.links);
        if (o.length > 0) for (; (r = this.tokenizer.rules.inline.reflinkSearch.exec(n)) != null; ) o.includes(r[0].slice(r[0].lastIndexOf("[") + 1, -1)) && (n = n.slice(0, r.index) + "[" + "a".repeat(r[0].length - 2) + "]" + n.slice(this.tokenizer.rules.inline.reflinkSearch.lastIndex));
      }
      for (; (r = this.tokenizer.rules.inline.anyPunctuation.exec(n)) != null; ) n = n.slice(0, r.index) + "++" + n.slice(this.tokenizer.rules.inline.anyPunctuation.lastIndex);
      for (; (r = this.tokenizer.rules.inline.blockSkip.exec(n)) != null; ) n = n.slice(0, r.index) + "[" + "a".repeat(r[0].length - 2) + "]" + n.slice(this.tokenizer.rules.inline.blockSkip.lastIndex);
      let i = false, s = "";
      for (; e; ) {
        i || (s = ""), i = false;
        let o;
        if (this.options.extensions?.inline?.some((u) => (o = u.call({ lexer: this }, e, t)) ? (e = e.substring(o.raw.length), t.push(o), true) : false)) continue;
        if (o = this.tokenizer.escape(e)) {
          e = e.substring(o.raw.length), t.push(o);
          continue;
        }
        if (o = this.tokenizer.tag(e)) {
          e = e.substring(o.raw.length), t.push(o);
          continue;
        }
        if (o = this.tokenizer.link(e)) {
          e = e.substring(o.raw.length), t.push(o);
          continue;
        }
        if (o = this.tokenizer.reflink(e, this.tokens.links)) {
          e = e.substring(o.raw.length);
          let u = t.at(-1);
          o.type === "text" && u?.type === "text" ? (u.raw += o.raw, u.text += o.text) : t.push(o);
          continue;
        }
        if (o = this.tokenizer.emStrong(e, n, s)) {
          e = e.substring(o.raw.length), t.push(o);
          continue;
        }
        if (o = this.tokenizer.codespan(e)) {
          e = e.substring(o.raw.length), t.push(o);
          continue;
        }
        if (o = this.tokenizer.br(e)) {
          e = e.substring(o.raw.length), t.push(o);
          continue;
        }
        if (o = this.tokenizer.del(e)) {
          e = e.substring(o.raw.length), t.push(o);
          continue;
        }
        if (o = this.tokenizer.autolink(e)) {
          e = e.substring(o.raw.length), t.push(o);
          continue;
        }
        if (!this.state.inLink && (o = this.tokenizer.url(e))) {
          e = e.substring(o.raw.length), t.push(o);
          continue;
        }
        let a = e;
        if (this.options.extensions?.startInline) {
          let u = 1 / 0, p = e.slice(1), c;
          this.options.extensions.startInline.forEach((f) => {
            c = f.call({ lexer: this }, p), typeof c == "number" && c >= 0 && (u = Math.min(u, c));
          }), u < 1 / 0 && u >= 0 && (a = e.substring(0, u + 1));
        }
        if (o = this.tokenizer.inlineText(a)) {
          e = e.substring(o.raw.length), o.raw.slice(-1) !== "_" && (s = o.raw.slice(-1)), i = true;
          let u = t.at(-1);
          u?.type === "text" ? (u.raw += o.raw, u.text += o.text) : t.push(o);
          continue;
        }
        if (e) {
          let u = "Infinite loop on byte: " + e.charCodeAt(0);
          if (this.options.silent) {
            console.error(u);
            break;
          } else throw new Error(u);
        }
      }
      return t;
    }
  };
  var P = class {
    options;
    parser;
    constructor(e) {
      this.options = e || O;
    }
    space(e) {
      return "";
    }
    code({ text: e, lang: t, escaped: n }) {
      let r = (t || "").match(m.notSpaceStart)?.[0], i = e.replace(m.endingNewline, "") + `
`;
      return r ? '<pre><code class="language-' + w(r) + '">' + (n ? i : w(i, true)) + `</code></pre>
` : "<pre><code>" + (n ? i : w(i, true)) + `</code></pre>
`;
    }
    blockquote({ tokens: e }) {
      return `<blockquote>
${this.parser.parse(e)}</blockquote>
`;
    }
    html({ text: e }) {
      return e;
    }
    def(e) {
      return "";
    }
    heading({ tokens: e, depth: t }) {
      return `<h${t}>${this.parser.parseInline(e)}</h${t}>
`;
    }
    hr(e) {
      return `<hr>
`;
    }
    list(e) {
      let t = e.ordered, n = e.start, r = "";
      for (let o = 0; o < e.items.length; o++) {
        let a = e.items[o];
        r += this.listitem(a);
      }
      let i = t ? "ol" : "ul", s = t && n !== 1 ? ' start="' + n + '"' : "";
      return "<" + i + s + `>
` + r + "</" + i + `>
`;
    }
    listitem(e) {
      let t = "";
      if (e.task) {
        let n = this.checkbox({ checked: !!e.checked });
        e.loose ? e.tokens[0]?.type === "paragraph" ? (e.tokens[0].text = n + " " + e.tokens[0].text, e.tokens[0].tokens && e.tokens[0].tokens.length > 0 && e.tokens[0].tokens[0].type === "text" && (e.tokens[0].tokens[0].text = n + " " + w(e.tokens[0].tokens[0].text), e.tokens[0].tokens[0].escaped = true)) : e.tokens.unshift({ type: "text", raw: n + " ", text: n + " ", escaped: true }) : t += n + " ";
      }
      return t += this.parser.parse(e.tokens, !!e.loose), `<li>${t}</li>
`;
    }
    checkbox({ checked: e }) {
      return "<input " + (e ? 'checked="" ' : "") + 'disabled="" type="checkbox">';
    }
    paragraph({ tokens: e }) {
      return `<p>${this.parser.parseInline(e)}</p>
`;
    }
    table(e) {
      let t = "", n = "";
      for (let i = 0; i < e.header.length; i++) n += this.tablecell(e.header[i]);
      t += this.tablerow({ text: n });
      let r = "";
      for (let i = 0; i < e.rows.length; i++) {
        let s = e.rows[i];
        n = "";
        for (let o = 0; o < s.length; o++) n += this.tablecell(s[o]);
        r += this.tablerow({ text: n });
      }
      return r && (r = `<tbody>${r}</tbody>`), `<table>
<thead>
` + t + `</thead>
` + r + `</table>
`;
    }
    tablerow({ text: e }) {
      return `<tr>
${e}</tr>
`;
    }
    tablecell(e) {
      let t = this.parser.parseInline(e.tokens), n = e.header ? "th" : "td";
      return (e.align ? `<${n} align="${e.align}">` : `<${n}>`) + t + `</${n}>
`;
    }
    strong({ tokens: e }) {
      return `<strong>${this.parser.parseInline(e)}</strong>`;
    }
    em({ tokens: e }) {
      return `<em>${this.parser.parseInline(e)}</em>`;
    }
    codespan({ text: e }) {
      return `<code>${w(e, true)}</code>`;
    }
    br(e) {
      return "<br>";
    }
    del({ tokens: e }) {
      return `<del>${this.parser.parseInline(e)}</del>`;
    }
    link({ href: e, title: t, tokens: n }) {
      let r = this.parser.parseInline(n), i = J(e);
      if (i === null) return r;
      e = i;
      let s = '<a href="' + e + '"';
      return t && (s += ' title="' + w(t) + '"'), s += ">" + r + "</a>", s;
    }
    image({ href: e, title: t, text: n, tokens: r }) {
      r && (n = this.parser.parseInline(r, this.parser.textRenderer));
      let i = J(e);
      if (i === null) return w(n);
      e = i;
      let s = `<img src="${e}" alt="${n}"`;
      return t && (s += ` title="${w(t)}"`), s += ">", s;
    }
    text(e) {
      return "tokens" in e && e.tokens ? this.parser.parseInline(e.tokens) : "escaped" in e && e.escaped ? e.text : w(e.text);
    }
  };
  var S = class {
    strong({ text: e }) {
      return e;
    }
    em({ text: e }) {
      return e;
    }
    codespan({ text: e }) {
      return e;
    }
    del({ text: e }) {
      return e;
    }
    html({ text: e }) {
      return e;
    }
    text({ text: e }) {
      return e;
    }
    link({ text: e }) {
      return "" + e;
    }
    image({ text: e }) {
      return "" + e;
    }
    br() {
      return "";
    }
  };
  var R = class l2 {
    options;
    renderer;
    textRenderer;
    constructor(e) {
      this.options = e || O, this.options.renderer = this.options.renderer || new P(), this.renderer = this.options.renderer, this.renderer.options = this.options, this.renderer.parser = this, this.textRenderer = new S();
    }
    static parse(e, t) {
      return new l2(t).parse(e);
    }
    static parseInline(e, t) {
      return new l2(t).parseInline(e);
    }
    parse(e, t = true) {
      let n = "";
      for (let r = 0; r < e.length; r++) {
        let i = e[r];
        if (this.options.extensions?.renderers?.[i.type]) {
          let o = i, a = this.options.extensions.renderers[o.type].call({ parser: this }, o);
          if (a !== false || !["space", "hr", "heading", "code", "table", "blockquote", "list", "html", "def", "paragraph", "text"].includes(o.type)) {
            n += a || "";
            continue;
          }
        }
        let s = i;
        switch (s.type) {
          case "space": {
            n += this.renderer.space(s);
            continue;
          }
          case "hr": {
            n += this.renderer.hr(s);
            continue;
          }
          case "heading": {
            n += this.renderer.heading(s);
            continue;
          }
          case "code": {
            n += this.renderer.code(s);
            continue;
          }
          case "table": {
            n += this.renderer.table(s);
            continue;
          }
          case "blockquote": {
            n += this.renderer.blockquote(s);
            continue;
          }
          case "list": {
            n += this.renderer.list(s);
            continue;
          }
          case "html": {
            n += this.renderer.html(s);
            continue;
          }
          case "def": {
            n += this.renderer.def(s);
            continue;
          }
          case "paragraph": {
            n += this.renderer.paragraph(s);
            continue;
          }
          case "text": {
            let o = s, a = this.renderer.text(o);
            for (; r + 1 < e.length && e[r + 1].type === "text"; ) o = e[++r], a += `
` + this.renderer.text(o);
            t ? n += this.renderer.paragraph({ type: "paragraph", raw: a, text: a, tokens: [{ type: "text", raw: a, text: a, escaped: true }] }) : n += a;
            continue;
          }
          default: {
            let o = 'Token with "' + s.type + '" type was not found.';
            if (this.options.silent) return console.error(o), "";
            throw new Error(o);
          }
        }
      }
      return n;
    }
    parseInline(e, t = this.renderer) {
      let n = "";
      for (let r = 0; r < e.length; r++) {
        let i = e[r];
        if (this.options.extensions?.renderers?.[i.type]) {
          let o = this.options.extensions.renderers[i.type].call({ parser: this }, i);
          if (o !== false || !["escape", "html", "link", "image", "strong", "em", "codespan", "br", "del", "text"].includes(i.type)) {
            n += o || "";
            continue;
          }
        }
        let s = i;
        switch (s.type) {
          case "escape": {
            n += t.text(s);
            break;
          }
          case "html": {
            n += t.html(s);
            break;
          }
          case "link": {
            n += t.link(s);
            break;
          }
          case "image": {
            n += t.image(s);
            break;
          }
          case "strong": {
            n += t.strong(s);
            break;
          }
          case "em": {
            n += t.em(s);
            break;
          }
          case "codespan": {
            n += t.codespan(s);
            break;
          }
          case "br": {
            n += t.br(s);
            break;
          }
          case "del": {
            n += t.del(s);
            break;
          }
          case "text": {
            n += t.text(s);
            break;
          }
          default: {
            let o = 'Token with "' + s.type + '" type was not found.';
            if (this.options.silent) return console.error(o), "";
            throw new Error(o);
          }
        }
      }
      return n;
    }
  };
  var $ = class {
    options;
    block;
    constructor(e) {
      this.options = e || O;
    }
    static passThroughHooks = /* @__PURE__ */ new Set(["preprocess", "postprocess", "processAllTokens"]);
    preprocess(e) {
      return e;
    }
    postprocess(e) {
      return e;
    }
    processAllTokens(e) {
      return e;
    }
    provideLexer() {
      return this.block ? b.lex : b.lexInline;
    }
    provideParser() {
      return this.block ? R.parse : R.parseInline;
    }
  };
  var B = class {
    defaults = L();
    options = this.setOptions;
    parse = this.parseMarkdown(true);
    parseInline = this.parseMarkdown(false);
    Parser = R;
    Renderer = P;
    TextRenderer = S;
    Lexer = b;
    Tokenizer = y;
    Hooks = $;
    constructor(...e) {
      this.use(...e);
    }
    walkTokens(e, t) {
      let n = [];
      for (let r of e) switch (n = n.concat(t.call(this, r)), r.type) {
        case "table": {
          let i = r;
          for (let s of i.header) n = n.concat(this.walkTokens(s.tokens, t));
          for (let s of i.rows) for (let o of s) n = n.concat(this.walkTokens(o.tokens, t));
          break;
        }
        case "list": {
          let i = r;
          n = n.concat(this.walkTokens(i.items, t));
          break;
        }
        default: {
          let i = r;
          this.defaults.extensions?.childTokens?.[i.type] ? this.defaults.extensions.childTokens[i.type].forEach((s) => {
            let o = i[s].flat(1 / 0);
            n = n.concat(this.walkTokens(o, t));
          }) : i.tokens && (n = n.concat(this.walkTokens(i.tokens, t)));
        }
      }
      return n;
    }
    use(...e) {
      let t = this.defaults.extensions || { renderers: {}, childTokens: {} };
      return e.forEach((n) => {
        let r = { ...n };
        if (r.async = this.defaults.async || r.async || false, n.extensions && (n.extensions.forEach((i) => {
          if (!i.name) throw new Error("extension name required");
          if ("renderer" in i) {
            let s = t.renderers[i.name];
            s ? t.renderers[i.name] = function(...o) {
              let a = i.renderer.apply(this, o);
              return a === false && (a = s.apply(this, o)), a;
            } : t.renderers[i.name] = i.renderer;
          }
          if ("tokenizer" in i) {
            if (!i.level || i.level !== "block" && i.level !== "inline") throw new Error("extension level must be 'block' or 'inline'");
            let s = t[i.level];
            s ? s.unshift(i.tokenizer) : t[i.level] = [i.tokenizer], i.start && (i.level === "block" ? t.startBlock ? t.startBlock.push(i.start) : t.startBlock = [i.start] : i.level === "inline" && (t.startInline ? t.startInline.push(i.start) : t.startInline = [i.start]));
          }
          "childTokens" in i && i.childTokens && (t.childTokens[i.name] = i.childTokens);
        }), r.extensions = t), n.renderer) {
          let i = this.defaults.renderer || new P(this.defaults);
          for (let s in n.renderer) {
            if (!(s in i)) throw new Error(`renderer '${s}' does not exist`);
            if (["options", "parser"].includes(s)) continue;
            let o = s, a = n.renderer[o], u = i[o];
            i[o] = (...p) => {
              let c = a.apply(i, p);
              return c === false && (c = u.apply(i, p)), c || "";
            };
          }
          r.renderer = i;
        }
        if (n.tokenizer) {
          let i = this.defaults.tokenizer || new y(this.defaults);
          for (let s in n.tokenizer) {
            if (!(s in i)) throw new Error(`tokenizer '${s}' does not exist`);
            if (["options", "rules", "lexer"].includes(s)) continue;
            let o = s, a = n.tokenizer[o], u = i[o];
            i[o] = (...p) => {
              let c = a.apply(i, p);
              return c === false && (c = u.apply(i, p)), c;
            };
          }
          r.tokenizer = i;
        }
        if (n.hooks) {
          let i = this.defaults.hooks || new $();
          for (let s in n.hooks) {
            if (!(s in i)) throw new Error(`hook '${s}' does not exist`);
            if (["options", "block"].includes(s)) continue;
            let o = s, a = n.hooks[o], u = i[o];
            $.passThroughHooks.has(s) ? i[o] = (p) => {
              if (this.defaults.async) return Promise.resolve(a.call(i, p)).then((f) => u.call(i, f));
              let c = a.call(i, p);
              return u.call(i, c);
            } : i[o] = (...p) => {
              let c = a.apply(i, p);
              return c === false && (c = u.apply(i, p)), c;
            };
          }
          r.hooks = i;
        }
        if (n.walkTokens) {
          let i = this.defaults.walkTokens, s = n.walkTokens;
          r.walkTokens = function(o) {
            let a = [];
            return a.push(s.call(this, o)), i && (a = a.concat(i.call(this, o))), a;
          };
        }
        this.defaults = { ...this.defaults, ...r };
      }), this;
    }
    setOptions(e) {
      return this.defaults = { ...this.defaults, ...e }, this;
    }
    lexer(e, t) {
      return b.lex(e, t ?? this.defaults);
    }
    parser(e, t) {
      return R.parse(e, t ?? this.defaults);
    }
    parseMarkdown(e) {
      return (n, r) => {
        let i = { ...r }, s = { ...this.defaults, ...i }, o = this.onError(!!s.silent, !!s.async);
        if (this.defaults.async === true && i.async === false) return o(new Error("marked(): The async option was set to true by an extension. Remove async: false from the parse options object to return a Promise."));
        if (typeof n > "u" || n === null) return o(new Error("marked(): input parameter is undefined or null"));
        if (typeof n != "string") return o(new Error("marked(): input parameter is of type " + Object.prototype.toString.call(n) + ", string expected"));
        s.hooks && (s.hooks.options = s, s.hooks.block = e);
        let a = s.hooks ? s.hooks.provideLexer() : e ? b.lex : b.lexInline, u = s.hooks ? s.hooks.provideParser() : e ? R.parse : R.parseInline;
        if (s.async) return Promise.resolve(s.hooks ? s.hooks.preprocess(n) : n).then((p) => a(p, s)).then((p) => s.hooks ? s.hooks.processAllTokens(p) : p).then((p) => s.walkTokens ? Promise.all(this.walkTokens(p, s.walkTokens)).then(() => p) : p).then((p) => u(p, s)).then((p) => s.hooks ? s.hooks.postprocess(p) : p).catch(o);
        try {
          s.hooks && (n = s.hooks.preprocess(n));
          let p = a(n, s);
          s.hooks && (p = s.hooks.processAllTokens(p)), s.walkTokens && this.walkTokens(p, s.walkTokens);
          let c = u(p, s);
          return s.hooks && (c = s.hooks.postprocess(c)), c;
        } catch (p) {
          return o(p);
        }
      };
    }
    onError(e, t) {
      return (n) => {
        if (n.message += `
Please report this to https://github.com/markedjs/marked.`, e) {
          let r = "<p>An error occurred:</p><pre>" + w(n.message + "", true) + "</pre>";
          return t ? Promise.resolve(r) : r;
        }
        if (t) return Promise.reject(n);
        throw n;
      };
    }
  };
  var _ = new B();
  function d(l3, e) {
    return _.parse(l3, e);
  }
  d.options = d.setOptions = function(l3) {
    return _.setOptions(l3), d.defaults = _.defaults, H(d.defaults), d;
  };
  d.getDefaults = L;
  d.defaults = O;
  d.use = function(...l3) {
    return _.use(...l3), d.defaults = _.defaults, H(d.defaults), d;
  };
  d.walkTokens = function(l3, e) {
    return _.walkTokens(l3, e);
  };
  d.parseInline = _.parseInline;
  d.Parser = R;
  d.parser = R.parse;
  d.Renderer = P;
  d.TextRenderer = S;
  d.Lexer = b;
  d.lexer = b.lex;
  d.Tokenizer = y;
  d.Hooks = $;
  d.parse = d;
  var Dt = d.options;
  var Zt = d.setOptions;
  var Gt = d.use;
  var Ht = d.walkTokens;
  var Nt = d.parseInline;
  var Ft = R.parse;
  var Qt = b.lex;

  // node_modules/dompurify/dist/purify.es.mjs
  var {
    entries,
    setPrototypeOf,
    isFrozen,
    getPrototypeOf,
    getOwnPropertyDescriptor
  } = Object;
  var {
    freeze,
    seal,
    create
  } = Object;
  var {
    apply,
    construct
  } = typeof Reflect !== "undefined" && Reflect;
  if (!freeze) {
    freeze = function freeze2(x) {
      return x;
    };
  }
  if (!seal) {
    seal = function seal2(x) {
      return x;
    };
  }
  if (!apply) {
    apply = function apply2(fun, thisValue, args) {
      return fun.apply(thisValue, args);
    };
  }
  if (!construct) {
    construct = function construct2(Func, args) {
      return new Func(...args);
    };
  }
  var arrayForEach = unapply(Array.prototype.forEach);
  var arrayLastIndexOf = unapply(Array.prototype.lastIndexOf);
  var arrayPop = unapply(Array.prototype.pop);
  var arrayPush = unapply(Array.prototype.push);
  var arraySplice = unapply(Array.prototype.splice);
  var stringToLowerCase = unapply(String.prototype.toLowerCase);
  var stringToString = unapply(String.prototype.toString);
  var stringMatch = unapply(String.prototype.match);
  var stringReplace = unapply(String.prototype.replace);
  var stringIndexOf = unapply(String.prototype.indexOf);
  var stringTrim = unapply(String.prototype.trim);
  var objectHasOwnProperty = unapply(Object.prototype.hasOwnProperty);
  var regExpTest = unapply(RegExp.prototype.test);
  var typeErrorCreate = unconstruct(TypeError);
  function unapply(func) {
    return function(thisArg) {
      if (thisArg instanceof RegExp) {
        thisArg.lastIndex = 0;
      }
      for (var _len = arguments.length, args = new Array(_len > 1 ? _len - 1 : 0), _key = 1; _key < _len; _key++) {
        args[_key - 1] = arguments[_key];
      }
      return apply(func, thisArg, args);
    };
  }
  function unconstruct(func) {
    return function() {
      for (var _len2 = arguments.length, args = new Array(_len2), _key2 = 0; _key2 < _len2; _key2++) {
        args[_key2] = arguments[_key2];
      }
      return construct(func, args);
    };
  }
  function addToSet(set, array) {
    let transformCaseFunc = arguments.length > 2 && arguments[2] !== void 0 ? arguments[2] : stringToLowerCase;
    if (setPrototypeOf) {
      setPrototypeOf(set, null);
    }
    let l3 = array.length;
    while (l3--) {
      let element = array[l3];
      if (typeof element === "string") {
        const lcElement = transformCaseFunc(element);
        if (lcElement !== element) {
          if (!isFrozen(array)) {
            array[l3] = lcElement;
          }
          element = lcElement;
        }
      }
      set[element] = true;
    }
    return set;
  }
  function cleanArray(array) {
    for (let index = 0; index < array.length; index++) {
      const isPropertyExist = objectHasOwnProperty(array, index);
      if (!isPropertyExist) {
        array[index] = null;
      }
    }
    return array;
  }
  function clone(object) {
    const newObject = create(null);
    for (const [property, value] of entries(object)) {
      const isPropertyExist = objectHasOwnProperty(object, property);
      if (isPropertyExist) {
        if (Array.isArray(value)) {
          newObject[property] = cleanArray(value);
        } else if (value && typeof value === "object" && value.constructor === Object) {
          newObject[property] = clone(value);
        } else {
          newObject[property] = value;
        }
      }
    }
    return newObject;
  }
  function lookupGetter(object, prop) {
    while (object !== null) {
      const desc = getOwnPropertyDescriptor(object, prop);
      if (desc) {
        if (desc.get) {
          return unapply(desc.get);
        }
        if (typeof desc.value === "function") {
          return unapply(desc.value);
        }
      }
      object = getPrototypeOf(object);
    }
    function fallbackValue() {
      return null;
    }
    return fallbackValue;
  }
  var html$1 = freeze(["a", "abbr", "acronym", "address", "area", "article", "aside", "audio", "b", "bdi", "bdo", "big", "blink", "blockquote", "body", "br", "button", "canvas", "caption", "center", "cite", "code", "col", "colgroup", "content", "data", "datalist", "dd", "decorator", "del", "details", "dfn", "dialog", "dir", "div", "dl", "dt", "element", "em", "fieldset", "figcaption", "figure", "font", "footer", "form", "h1", "h2", "h3", "h4", "h5", "h6", "head", "header", "hgroup", "hr", "html", "i", "img", "input", "ins", "kbd", "label", "legend", "li", "main", "map", "mark", "marquee", "menu", "menuitem", "meter", "nav", "nobr", "ol", "optgroup", "option", "output", "p", "picture", "pre", "progress", "q", "rp", "rt", "ruby", "s", "samp", "section", "select", "shadow", "small", "source", "spacer", "span", "strike", "strong", "style", "sub", "summary", "sup", "table", "tbody", "td", "template", "textarea", "tfoot", "th", "thead", "time", "tr", "track", "tt", "u", "ul", "var", "video", "wbr"]);
  var svg$1 = freeze(["svg", "a", "altglyph", "altglyphdef", "altglyphitem", "animatecolor", "animatemotion", "animatetransform", "circle", "clippath", "defs", "desc", "ellipse", "filter", "font", "g", "glyph", "glyphref", "hkern", "image", "line", "lineargradient", "marker", "mask", "metadata", "mpath", "path", "pattern", "polygon", "polyline", "radialgradient", "rect", "stop", "style", "switch", "symbol", "text", "textpath", "title", "tref", "tspan", "view", "vkern"]);
  var svgFilters = freeze(["feBlend", "feColorMatrix", "feComponentTransfer", "feComposite", "feConvolveMatrix", "feDiffuseLighting", "feDisplacementMap", "feDistantLight", "feDropShadow", "feFlood", "feFuncA", "feFuncB", "feFuncG", "feFuncR", "feGaussianBlur", "feImage", "feMerge", "feMergeNode", "feMorphology", "feOffset", "fePointLight", "feSpecularLighting", "feSpotLight", "feTile", "feTurbulence"]);
  var svgDisallowed = freeze(["animate", "color-profile", "cursor", "discard", "font-face", "font-face-format", "font-face-name", "font-face-src", "font-face-uri", "foreignobject", "hatch", "hatchpath", "mesh", "meshgradient", "meshpatch", "meshrow", "missing-glyph", "script", "set", "solidcolor", "unknown", "use"]);
  var mathMl$1 = freeze(["math", "menclose", "merror", "mfenced", "mfrac", "mglyph", "mi", "mlabeledtr", "mmultiscripts", "mn", "mo", "mover", "mpadded", "mphantom", "mroot", "mrow", "ms", "mspace", "msqrt", "mstyle", "msub", "msup", "msubsup", "mtable", "mtd", "mtext", "mtr", "munder", "munderover", "mprescripts"]);
  var mathMlDisallowed = freeze(["maction", "maligngroup", "malignmark", "mlongdiv", "mscarries", "mscarry", "msgroup", "mstack", "msline", "msrow", "semantics", "annotation", "annotation-xml", "mprescripts", "none"]);
  var text = freeze(["#text"]);
  var html = freeze(["accept", "action", "align", "alt", "autocapitalize", "autocomplete", "autopictureinpicture", "autoplay", "background", "bgcolor", "border", "capture", "cellpadding", "cellspacing", "checked", "cite", "class", "clear", "color", "cols", "colspan", "controls", "controlslist", "coords", "crossorigin", "datetime", "decoding", "default", "dir", "disabled", "disablepictureinpicture", "disableremoteplayback", "download", "draggable", "enctype", "enterkeyhint", "face", "for", "headers", "height", "hidden", "high", "href", "hreflang", "id", "inputmode", "integrity", "ismap", "kind", "label", "lang", "list", "loading", "loop", "low", "max", "maxlength", "media", "method", "min", "minlength", "multiple", "muted", "name", "nonce", "noshade", "novalidate", "nowrap", "open", "optimum", "pattern", "placeholder", "playsinline", "popover", "popovertarget", "popovertargetaction", "poster", "preload", "pubdate", "radiogroup", "readonly", "rel", "required", "rev", "reversed", "role", "rows", "rowspan", "spellcheck", "scope", "selected", "shape", "size", "sizes", "span", "srclang", "start", "src", "srcset", "step", "style", "summary", "tabindex", "title", "translate", "type", "usemap", "valign", "value", "width", "wrap", "xmlns", "slot"]);
  var svg = freeze(["accent-height", "accumulate", "additive", "alignment-baseline", "amplitude", "ascent", "attributename", "attributetype", "azimuth", "basefrequency", "baseline-shift", "begin", "bias", "by", "class", "clip", "clippathunits", "clip-path", "clip-rule", "color", "color-interpolation", "color-interpolation-filters", "color-profile", "color-rendering", "cx", "cy", "d", "dx", "dy", "diffuseconstant", "direction", "display", "divisor", "dur", "edgemode", "elevation", "end", "exponent", "fill", "fill-opacity", "fill-rule", "filter", "filterunits", "flood-color", "flood-opacity", "font-family", "font-size", "font-size-adjust", "font-stretch", "font-style", "font-variant", "font-weight", "fx", "fy", "g1", "g2", "glyph-name", "glyphref", "gradientunits", "gradienttransform", "height", "href", "id", "image-rendering", "in", "in2", "intercept", "k", "k1", "k2", "k3", "k4", "kerning", "keypoints", "keysplines", "keytimes", "lang", "lengthadjust", "letter-spacing", "kernelmatrix", "kernelunitlength", "lighting-color", "local", "marker-end", "marker-mid", "marker-start", "markerheight", "markerunits", "markerwidth", "maskcontentunits", "maskunits", "max", "mask", "media", "method", "mode", "min", "name", "numoctaves", "offset", "operator", "opacity", "order", "orient", "orientation", "origin", "overflow", "paint-order", "path", "pathlength", "patterncontentunits", "patterntransform", "patternunits", "points", "preservealpha", "preserveaspectratio", "primitiveunits", "r", "rx", "ry", "radius", "refx", "refy", "repeatcount", "repeatdur", "restart", "result", "rotate", "scale", "seed", "shape-rendering", "slope", "specularconstant", "specularexponent", "spreadmethod", "startoffset", "stddeviation", "stitchtiles", "stop-color", "stop-opacity", "stroke-dasharray", "stroke-dashoffset", "stroke-linecap", "stroke-linejoin", "stroke-miterlimit", "stroke-opacity", "stroke", "stroke-width", "style", "surfacescale", "systemlanguage", "tabindex", "tablevalues", "targetx", "targety", "transform", "transform-origin", "text-anchor", "text-decoration", "text-rendering", "textlength", "type", "u1", "u2", "unicode", "values", "viewbox", "visibility", "version", "vert-adv-y", "vert-origin-x", "vert-origin-y", "width", "word-spacing", "wrap", "writing-mode", "xchannelselector", "ychannelselector", "x", "x1", "x2", "xmlns", "y", "y1", "y2", "z", "zoomandpan"]);
  var mathMl = freeze(["accent", "accentunder", "align", "bevelled", "close", "columnsalign", "columnlines", "columnspan", "denomalign", "depth", "dir", "display", "displaystyle", "encoding", "fence", "frame", "height", "href", "id", "largeop", "length", "linethickness", "lspace", "lquote", "mathbackground", "mathcolor", "mathsize", "mathvariant", "maxsize", "minsize", "movablelimits", "notation", "numalign", "open", "rowalign", "rowlines", "rowspacing", "rowspan", "rspace", "rquote", "scriptlevel", "scriptminsize", "scriptsizemultiplier", "selection", "separator", "separators", "stretchy", "subscriptshift", "supscriptshift", "symmetric", "voffset", "width", "xmlns"]);
  var xml = freeze(["xlink:href", "xml:id", "xlink:title", "xml:space", "xmlns:xlink"]);
  var MUSTACHE_EXPR = seal(/\{\{[\w\W]*|[\w\W]*\}\}/gm);
  var ERB_EXPR = seal(/<%[\w\W]*|[\w\W]*%>/gm);
  var TMPLIT_EXPR = seal(/\$\{[\w\W]*/gm);
  var DATA_ATTR = seal(/^data-[\-\w.\u00B7-\uFFFF]+$/);
  var ARIA_ATTR = seal(/^aria-[\-\w]+$/);
  var IS_ALLOWED_URI = seal(
    /^(?:(?:(?:f|ht)tps?|mailto|tel|callto|sms|cid|xmpp|matrix):|[^a-z]|[a-z+.\-]+(?:[^a-z+.\-:]|$))/i
    // eslint-disable-line no-useless-escape
  );
  var IS_SCRIPT_OR_DATA = seal(/^(?:\w+script|data):/i);
  var ATTR_WHITESPACE = seal(
    /[\u0000-\u0020\u00A0\u1680\u180E\u2000-\u2029\u205F\u3000]/g
    // eslint-disable-line no-control-regex
  );
  var DOCTYPE_NAME = seal(/^html$/i);
  var CUSTOM_ELEMENT = seal(/^[a-z][.\w]*(-[.\w]+)+$/i);
  var EXPRESSIONS = /* @__PURE__ */ Object.freeze({
    __proto__: null,
    ARIA_ATTR,
    ATTR_WHITESPACE,
    CUSTOM_ELEMENT,
    DATA_ATTR,
    DOCTYPE_NAME,
    ERB_EXPR,
    IS_ALLOWED_URI,
    IS_SCRIPT_OR_DATA,
    MUSTACHE_EXPR,
    TMPLIT_EXPR
  });
  var NODE_TYPE = {
    element: 1,
    attribute: 2,
    text: 3,
    cdataSection: 4,
    entityReference: 5,
    // Deprecated
    entityNode: 6,
    // Deprecated
    progressingInstruction: 7,
    comment: 8,
    document: 9,
    documentType: 10,
    documentFragment: 11,
    notation: 12
    // Deprecated
  };
  var getGlobal = function getGlobal2() {
    return typeof window === "undefined" ? null : window;
  };
  var _createTrustedTypesPolicy = function _createTrustedTypesPolicy2(trustedTypes, purifyHostElement) {
    if (typeof trustedTypes !== "object" || typeof trustedTypes.createPolicy !== "function") {
      return null;
    }
    let suffix = null;
    const ATTR_NAME = "data-tt-policy-suffix";
    if (purifyHostElement && purifyHostElement.hasAttribute(ATTR_NAME)) {
      suffix = purifyHostElement.getAttribute(ATTR_NAME);
    }
    const policyName = "dompurify" + (suffix ? "#" + suffix : "");
    try {
      return trustedTypes.createPolicy(policyName, {
        createHTML(html2) {
          return html2;
        },
        createScriptURL(scriptUrl) {
          return scriptUrl;
        }
      });
    } catch (_2) {
      console.warn("TrustedTypes policy " + policyName + " could not be created.");
      return null;
    }
  };
  var _createHooksMap = function _createHooksMap2() {
    return {
      afterSanitizeAttributes: [],
      afterSanitizeElements: [],
      afterSanitizeShadowDOM: [],
      beforeSanitizeAttributes: [],
      beforeSanitizeElements: [],
      beforeSanitizeShadowDOM: [],
      uponSanitizeAttribute: [],
      uponSanitizeElement: [],
      uponSanitizeShadowNode: []
    };
  };
  function createDOMPurify() {
    let window2 = arguments.length > 0 && arguments[0] !== void 0 ? arguments[0] : getGlobal();
    const DOMPurify = (root) => createDOMPurify(root);
    DOMPurify.version = "3.2.6";
    DOMPurify.removed = [];
    if (!window2 || !window2.document || window2.document.nodeType !== NODE_TYPE.document || !window2.Element) {
      DOMPurify.isSupported = false;
      return DOMPurify;
    }
    let {
      document: document2
    } = window2;
    const originalDocument = document2;
    const currentScript = originalDocument.currentScript;
    const {
      DocumentFragment,
      HTMLTemplateElement,
      Node: Node2,
      Element,
      NodeFilter,
      NamedNodeMap = window2.NamedNodeMap || window2.MozNamedAttrMap,
      HTMLFormElement,
      DOMParser,
      trustedTypes
    } = window2;
    const ElementPrototype = Element.prototype;
    const cloneNode = lookupGetter(ElementPrototype, "cloneNode");
    const remove = lookupGetter(ElementPrototype, "remove");
    const getNextSibling = lookupGetter(ElementPrototype, "nextSibling");
    const getChildNodes = lookupGetter(ElementPrototype, "childNodes");
    const getParentNode = lookupGetter(ElementPrototype, "parentNode");
    if (typeof HTMLTemplateElement === "function") {
      const template = document2.createElement("template");
      if (template.content && template.content.ownerDocument) {
        document2 = template.content.ownerDocument;
      }
    }
    let trustedTypesPolicy;
    let emptyHTML = "";
    const {
      implementation,
      createNodeIterator,
      createDocumentFragment,
      getElementsByTagName
    } = document2;
    const {
      importNode
    } = originalDocument;
    let hooks = _createHooksMap();
    DOMPurify.isSupported = typeof entries === "function" && typeof getParentNode === "function" && implementation && implementation.createHTMLDocument !== void 0;
    const {
      MUSTACHE_EXPR: MUSTACHE_EXPR2,
      ERB_EXPR: ERB_EXPR2,
      TMPLIT_EXPR: TMPLIT_EXPR2,
      DATA_ATTR: DATA_ATTR2,
      ARIA_ATTR: ARIA_ATTR2,
      IS_SCRIPT_OR_DATA: IS_SCRIPT_OR_DATA2,
      ATTR_WHITESPACE: ATTR_WHITESPACE2,
      CUSTOM_ELEMENT: CUSTOM_ELEMENT2
    } = EXPRESSIONS;
    let {
      IS_ALLOWED_URI: IS_ALLOWED_URI$1
    } = EXPRESSIONS;
    let ALLOWED_TAGS = null;
    const DEFAULT_ALLOWED_TAGS = addToSet({}, [...html$1, ...svg$1, ...svgFilters, ...mathMl$1, ...text]);
    let ALLOWED_ATTR = null;
    const DEFAULT_ALLOWED_ATTR = addToSet({}, [...html, ...svg, ...mathMl, ...xml]);
    let CUSTOM_ELEMENT_HANDLING = Object.seal(create(null, {
      tagNameCheck: {
        writable: true,
        configurable: false,
        enumerable: true,
        value: null
      },
      attributeNameCheck: {
        writable: true,
        configurable: false,
        enumerable: true,
        value: null
      },
      allowCustomizedBuiltInElements: {
        writable: true,
        configurable: false,
        enumerable: true,
        value: false
      }
    }));
    let FORBID_TAGS = null;
    let FORBID_ATTR = null;
    let ALLOW_ARIA_ATTR = true;
    let ALLOW_DATA_ATTR = true;
    let ALLOW_UNKNOWN_PROTOCOLS = false;
    let ALLOW_SELF_CLOSE_IN_ATTR = true;
    let SAFE_FOR_TEMPLATES = false;
    let SAFE_FOR_XML = true;
    let WHOLE_DOCUMENT = false;
    let SET_CONFIG = false;
    let FORCE_BODY = false;
    let RETURN_DOM = false;
    let RETURN_DOM_FRAGMENT = false;
    let RETURN_TRUSTED_TYPE = false;
    let SANITIZE_DOM = true;
    let SANITIZE_NAMED_PROPS = false;
    const SANITIZE_NAMED_PROPS_PREFIX = "user-content-";
    let KEEP_CONTENT = true;
    let IN_PLACE = false;
    let USE_PROFILES = {};
    let FORBID_CONTENTS = null;
    const DEFAULT_FORBID_CONTENTS = addToSet({}, ["annotation-xml", "audio", "colgroup", "desc", "foreignobject", "head", "iframe", "math", "mi", "mn", "mo", "ms", "mtext", "noembed", "noframes", "noscript", "plaintext", "script", "style", "svg", "template", "thead", "title", "video", "xmp"]);
    let DATA_URI_TAGS = null;
    const DEFAULT_DATA_URI_TAGS = addToSet({}, ["audio", "video", "img", "source", "image", "track"]);
    let URI_SAFE_ATTRIBUTES = null;
    const DEFAULT_URI_SAFE_ATTRIBUTES = addToSet({}, ["alt", "class", "for", "id", "label", "name", "pattern", "placeholder", "role", "summary", "title", "value", "style", "xmlns"]);
    const MATHML_NAMESPACE = "http://www.w3.org/1998/Math/MathML";
    const SVG_NAMESPACE = "http://www.w3.org/2000/svg";
    const HTML_NAMESPACE = "http://www.w3.org/1999/xhtml";
    let NAMESPACE = HTML_NAMESPACE;
    let IS_EMPTY_INPUT = false;
    let ALLOWED_NAMESPACES = null;
    const DEFAULT_ALLOWED_NAMESPACES = addToSet({}, [MATHML_NAMESPACE, SVG_NAMESPACE, HTML_NAMESPACE], stringToString);
    let MATHML_TEXT_INTEGRATION_POINTS = addToSet({}, ["mi", "mo", "mn", "ms", "mtext"]);
    let HTML_INTEGRATION_POINTS = addToSet({}, ["annotation-xml"]);
    const COMMON_SVG_AND_HTML_ELEMENTS = addToSet({}, ["title", "style", "font", "a", "script"]);
    let PARSER_MEDIA_TYPE = null;
    const SUPPORTED_PARSER_MEDIA_TYPES = ["application/xhtml+xml", "text/html"];
    const DEFAULT_PARSER_MEDIA_TYPE = "text/html";
    let transformCaseFunc = null;
    let CONFIG = null;
    const formElement = document2.createElement("form");
    const isRegexOrFunction = function isRegexOrFunction2(testValue) {
      return testValue instanceof RegExp || testValue instanceof Function;
    };
    const _parseConfig = function _parseConfig2() {
      let cfg = arguments.length > 0 && arguments[0] !== void 0 ? arguments[0] : {};
      if (CONFIG && CONFIG === cfg) {
        return;
      }
      if (!cfg || typeof cfg !== "object") {
        cfg = {};
      }
      cfg = clone(cfg);
      PARSER_MEDIA_TYPE = // eslint-disable-next-line unicorn/prefer-includes
      SUPPORTED_PARSER_MEDIA_TYPES.indexOf(cfg.PARSER_MEDIA_TYPE) === -1 ? DEFAULT_PARSER_MEDIA_TYPE : cfg.PARSER_MEDIA_TYPE;
      transformCaseFunc = PARSER_MEDIA_TYPE === "application/xhtml+xml" ? stringToString : stringToLowerCase;
      ALLOWED_TAGS = objectHasOwnProperty(cfg, "ALLOWED_TAGS") ? addToSet({}, cfg.ALLOWED_TAGS, transformCaseFunc) : DEFAULT_ALLOWED_TAGS;
      ALLOWED_ATTR = objectHasOwnProperty(cfg, "ALLOWED_ATTR") ? addToSet({}, cfg.ALLOWED_ATTR, transformCaseFunc) : DEFAULT_ALLOWED_ATTR;
      ALLOWED_NAMESPACES = objectHasOwnProperty(cfg, "ALLOWED_NAMESPACES") ? addToSet({}, cfg.ALLOWED_NAMESPACES, stringToString) : DEFAULT_ALLOWED_NAMESPACES;
      URI_SAFE_ATTRIBUTES = objectHasOwnProperty(cfg, "ADD_URI_SAFE_ATTR") ? addToSet(clone(DEFAULT_URI_SAFE_ATTRIBUTES), cfg.ADD_URI_SAFE_ATTR, transformCaseFunc) : DEFAULT_URI_SAFE_ATTRIBUTES;
      DATA_URI_TAGS = objectHasOwnProperty(cfg, "ADD_DATA_URI_TAGS") ? addToSet(clone(DEFAULT_DATA_URI_TAGS), cfg.ADD_DATA_URI_TAGS, transformCaseFunc) : DEFAULT_DATA_URI_TAGS;
      FORBID_CONTENTS = objectHasOwnProperty(cfg, "FORBID_CONTENTS") ? addToSet({}, cfg.FORBID_CONTENTS, transformCaseFunc) : DEFAULT_FORBID_CONTENTS;
      FORBID_TAGS = objectHasOwnProperty(cfg, "FORBID_TAGS") ? addToSet({}, cfg.FORBID_TAGS, transformCaseFunc) : clone({});
      FORBID_ATTR = objectHasOwnProperty(cfg, "FORBID_ATTR") ? addToSet({}, cfg.FORBID_ATTR, transformCaseFunc) : clone({});
      USE_PROFILES = objectHasOwnProperty(cfg, "USE_PROFILES") ? cfg.USE_PROFILES : false;
      ALLOW_ARIA_ATTR = cfg.ALLOW_ARIA_ATTR !== false;
      ALLOW_DATA_ATTR = cfg.ALLOW_DATA_ATTR !== false;
      ALLOW_UNKNOWN_PROTOCOLS = cfg.ALLOW_UNKNOWN_PROTOCOLS || false;
      ALLOW_SELF_CLOSE_IN_ATTR = cfg.ALLOW_SELF_CLOSE_IN_ATTR !== false;
      SAFE_FOR_TEMPLATES = cfg.SAFE_FOR_TEMPLATES || false;
      SAFE_FOR_XML = cfg.SAFE_FOR_XML !== false;
      WHOLE_DOCUMENT = cfg.WHOLE_DOCUMENT || false;
      RETURN_DOM = cfg.RETURN_DOM || false;
      RETURN_DOM_FRAGMENT = cfg.RETURN_DOM_FRAGMENT || false;
      RETURN_TRUSTED_TYPE = cfg.RETURN_TRUSTED_TYPE || false;
      FORCE_BODY = cfg.FORCE_BODY || false;
      SANITIZE_DOM = cfg.SANITIZE_DOM !== false;
      SANITIZE_NAMED_PROPS = cfg.SANITIZE_NAMED_PROPS || false;
      KEEP_CONTENT = cfg.KEEP_CONTENT !== false;
      IN_PLACE = cfg.IN_PLACE || false;
      IS_ALLOWED_URI$1 = cfg.ALLOWED_URI_REGEXP || IS_ALLOWED_URI;
      NAMESPACE = cfg.NAMESPACE || HTML_NAMESPACE;
      MATHML_TEXT_INTEGRATION_POINTS = cfg.MATHML_TEXT_INTEGRATION_POINTS || MATHML_TEXT_INTEGRATION_POINTS;
      HTML_INTEGRATION_POINTS = cfg.HTML_INTEGRATION_POINTS || HTML_INTEGRATION_POINTS;
      CUSTOM_ELEMENT_HANDLING = cfg.CUSTOM_ELEMENT_HANDLING || {};
      if (cfg.CUSTOM_ELEMENT_HANDLING && isRegexOrFunction(cfg.CUSTOM_ELEMENT_HANDLING.tagNameCheck)) {
        CUSTOM_ELEMENT_HANDLING.tagNameCheck = cfg.CUSTOM_ELEMENT_HANDLING.tagNameCheck;
      }
      if (cfg.CUSTOM_ELEMENT_HANDLING && isRegexOrFunction(cfg.CUSTOM_ELEMENT_HANDLING.attributeNameCheck)) {
        CUSTOM_ELEMENT_HANDLING.attributeNameCheck = cfg.CUSTOM_ELEMENT_HANDLING.attributeNameCheck;
      }
      if (cfg.CUSTOM_ELEMENT_HANDLING && typeof cfg.CUSTOM_ELEMENT_HANDLING.allowCustomizedBuiltInElements === "boolean") {
        CUSTOM_ELEMENT_HANDLING.allowCustomizedBuiltInElements = cfg.CUSTOM_ELEMENT_HANDLING.allowCustomizedBuiltInElements;
      }
      if (SAFE_FOR_TEMPLATES) {
        ALLOW_DATA_ATTR = false;
      }
      if (RETURN_DOM_FRAGMENT) {
        RETURN_DOM = true;
      }
      if (USE_PROFILES) {
        ALLOWED_TAGS = addToSet({}, text);
        ALLOWED_ATTR = [];
        if (USE_PROFILES.html === true) {
          addToSet(ALLOWED_TAGS, html$1);
          addToSet(ALLOWED_ATTR, html);
        }
        if (USE_PROFILES.svg === true) {
          addToSet(ALLOWED_TAGS, svg$1);
          addToSet(ALLOWED_ATTR, svg);
          addToSet(ALLOWED_ATTR, xml);
        }
        if (USE_PROFILES.svgFilters === true) {
          addToSet(ALLOWED_TAGS, svgFilters);
          addToSet(ALLOWED_ATTR, svg);
          addToSet(ALLOWED_ATTR, xml);
        }
        if (USE_PROFILES.mathMl === true) {
          addToSet(ALLOWED_TAGS, mathMl$1);
          addToSet(ALLOWED_ATTR, mathMl);
          addToSet(ALLOWED_ATTR, xml);
        }
      }
      if (cfg.ADD_TAGS) {
        if (ALLOWED_TAGS === DEFAULT_ALLOWED_TAGS) {
          ALLOWED_TAGS = clone(ALLOWED_TAGS);
        }
        addToSet(ALLOWED_TAGS, cfg.ADD_TAGS, transformCaseFunc);
      }
      if (cfg.ADD_ATTR) {
        if (ALLOWED_ATTR === DEFAULT_ALLOWED_ATTR) {
          ALLOWED_ATTR = clone(ALLOWED_ATTR);
        }
        addToSet(ALLOWED_ATTR, cfg.ADD_ATTR, transformCaseFunc);
      }
      if (cfg.ADD_URI_SAFE_ATTR) {
        addToSet(URI_SAFE_ATTRIBUTES, cfg.ADD_URI_SAFE_ATTR, transformCaseFunc);
      }
      if (cfg.FORBID_CONTENTS) {
        if (FORBID_CONTENTS === DEFAULT_FORBID_CONTENTS) {
          FORBID_CONTENTS = clone(FORBID_CONTENTS);
        }
        addToSet(FORBID_CONTENTS, cfg.FORBID_CONTENTS, transformCaseFunc);
      }
      if (KEEP_CONTENT) {
        ALLOWED_TAGS["#text"] = true;
      }
      if (WHOLE_DOCUMENT) {
        addToSet(ALLOWED_TAGS, ["html", "head", "body"]);
      }
      if (ALLOWED_TAGS.table) {
        addToSet(ALLOWED_TAGS, ["tbody"]);
        delete FORBID_TAGS.tbody;
      }
      if (cfg.TRUSTED_TYPES_POLICY) {
        if (typeof cfg.TRUSTED_TYPES_POLICY.createHTML !== "function") {
          throw typeErrorCreate('TRUSTED_TYPES_POLICY configuration option must provide a "createHTML" hook.');
        }
        if (typeof cfg.TRUSTED_TYPES_POLICY.createScriptURL !== "function") {
          throw typeErrorCreate('TRUSTED_TYPES_POLICY configuration option must provide a "createScriptURL" hook.');
        }
        trustedTypesPolicy = cfg.TRUSTED_TYPES_POLICY;
        emptyHTML = trustedTypesPolicy.createHTML("");
      } else {
        if (trustedTypesPolicy === void 0) {
          trustedTypesPolicy = _createTrustedTypesPolicy(trustedTypes, currentScript);
        }
        if (trustedTypesPolicy !== null && typeof emptyHTML === "string") {
          emptyHTML = trustedTypesPolicy.createHTML("");
        }
      }
      if (freeze) {
        freeze(cfg);
      }
      CONFIG = cfg;
    };
    const ALL_SVG_TAGS = addToSet({}, [...svg$1, ...svgFilters, ...svgDisallowed]);
    const ALL_MATHML_TAGS = addToSet({}, [...mathMl$1, ...mathMlDisallowed]);
    const _checkValidNamespace = function _checkValidNamespace2(element) {
      let parent = getParentNode(element);
      if (!parent || !parent.tagName) {
        parent = {
          namespaceURI: NAMESPACE,
          tagName: "template"
        };
      }
      const tagName = stringToLowerCase(element.tagName);
      const parentTagName = stringToLowerCase(parent.tagName);
      if (!ALLOWED_NAMESPACES[element.namespaceURI]) {
        return false;
      }
      if (element.namespaceURI === SVG_NAMESPACE) {
        if (parent.namespaceURI === HTML_NAMESPACE) {
          return tagName === "svg";
        }
        if (parent.namespaceURI === MATHML_NAMESPACE) {
          return tagName === "svg" && (parentTagName === "annotation-xml" || MATHML_TEXT_INTEGRATION_POINTS[parentTagName]);
        }
        return Boolean(ALL_SVG_TAGS[tagName]);
      }
      if (element.namespaceURI === MATHML_NAMESPACE) {
        if (parent.namespaceURI === HTML_NAMESPACE) {
          return tagName === "math";
        }
        if (parent.namespaceURI === SVG_NAMESPACE) {
          return tagName === "math" && HTML_INTEGRATION_POINTS[parentTagName];
        }
        return Boolean(ALL_MATHML_TAGS[tagName]);
      }
      if (element.namespaceURI === HTML_NAMESPACE) {
        if (parent.namespaceURI === SVG_NAMESPACE && !HTML_INTEGRATION_POINTS[parentTagName]) {
          return false;
        }
        if (parent.namespaceURI === MATHML_NAMESPACE && !MATHML_TEXT_INTEGRATION_POINTS[parentTagName]) {
          return false;
        }
        return !ALL_MATHML_TAGS[tagName] && (COMMON_SVG_AND_HTML_ELEMENTS[tagName] || !ALL_SVG_TAGS[tagName]);
      }
      if (PARSER_MEDIA_TYPE === "application/xhtml+xml" && ALLOWED_NAMESPACES[element.namespaceURI]) {
        return true;
      }
      return false;
    };
    const _forceRemove = function _forceRemove2(node) {
      arrayPush(DOMPurify.removed, {
        element: node
      });
      try {
        getParentNode(node).removeChild(node);
      } catch (_2) {
        remove(node);
      }
    };
    const _removeAttribute = function _removeAttribute2(name, element) {
      try {
        arrayPush(DOMPurify.removed, {
          attribute: element.getAttributeNode(name),
          from: element
        });
      } catch (_2) {
        arrayPush(DOMPurify.removed, {
          attribute: null,
          from: element
        });
      }
      element.removeAttribute(name);
      if (name === "is") {
        if (RETURN_DOM || RETURN_DOM_FRAGMENT) {
          try {
            _forceRemove(element);
          } catch (_2) {
          }
        } else {
          try {
            element.setAttribute(name, "");
          } catch (_2) {
          }
        }
      }
    };
    const _initDocument = function _initDocument2(dirty) {
      let doc = null;
      let leadingWhitespace = null;
      if (FORCE_BODY) {
        dirty = "<remove></remove>" + dirty;
      } else {
        const matches = stringMatch(dirty, /^[\r\n\t ]+/);
        leadingWhitespace = matches && matches[0];
      }
      if (PARSER_MEDIA_TYPE === "application/xhtml+xml" && NAMESPACE === HTML_NAMESPACE) {
        dirty = '<html xmlns="http://www.w3.org/1999/xhtml"><head></head><body>' + dirty + "</body></html>";
      }
      const dirtyPayload = trustedTypesPolicy ? trustedTypesPolicy.createHTML(dirty) : dirty;
      if (NAMESPACE === HTML_NAMESPACE) {
        try {
          doc = new DOMParser().parseFromString(dirtyPayload, PARSER_MEDIA_TYPE);
        } catch (_2) {
        }
      }
      if (!doc || !doc.documentElement) {
        doc = implementation.createDocument(NAMESPACE, "template", null);
        try {
          doc.documentElement.innerHTML = IS_EMPTY_INPUT ? emptyHTML : dirtyPayload;
        } catch (_2) {
        }
      }
      const body = doc.body || doc.documentElement;
      if (dirty && leadingWhitespace) {
        body.insertBefore(document2.createTextNode(leadingWhitespace), body.childNodes[0] || null);
      }
      if (NAMESPACE === HTML_NAMESPACE) {
        return getElementsByTagName.call(doc, WHOLE_DOCUMENT ? "html" : "body")[0];
      }
      return WHOLE_DOCUMENT ? doc.documentElement : body;
    };
    const _createNodeIterator = function _createNodeIterator2(root) {
      return createNodeIterator.call(
        root.ownerDocument || root,
        root,
        // eslint-disable-next-line no-bitwise
        NodeFilter.SHOW_ELEMENT | NodeFilter.SHOW_COMMENT | NodeFilter.SHOW_TEXT | NodeFilter.SHOW_PROCESSING_INSTRUCTION | NodeFilter.SHOW_CDATA_SECTION,
        null
      );
    };
    const _isClobbered = function _isClobbered2(element) {
      return element instanceof HTMLFormElement && (typeof element.nodeName !== "string" || typeof element.textContent !== "string" || typeof element.removeChild !== "function" || !(element.attributes instanceof NamedNodeMap) || typeof element.removeAttribute !== "function" || typeof element.setAttribute !== "function" || typeof element.namespaceURI !== "string" || typeof element.insertBefore !== "function" || typeof element.hasChildNodes !== "function");
    };
    const _isNode = function _isNode2(value) {
      return typeof Node2 === "function" && value instanceof Node2;
    };
    function _executeHooks(hooks2, currentNode, data) {
      arrayForEach(hooks2, (hook) => {
        hook.call(DOMPurify, currentNode, data, CONFIG);
      });
    }
    const _sanitizeElements = function _sanitizeElements2(currentNode) {
      let content = null;
      _executeHooks(hooks.beforeSanitizeElements, currentNode, null);
      if (_isClobbered(currentNode)) {
        _forceRemove(currentNode);
        return true;
      }
      const tagName = transformCaseFunc(currentNode.nodeName);
      _executeHooks(hooks.uponSanitizeElement, currentNode, {
        tagName,
        allowedTags: ALLOWED_TAGS
      });
      if (SAFE_FOR_XML && currentNode.hasChildNodes() && !_isNode(currentNode.firstElementChild) && regExpTest(/<[/\w!]/g, currentNode.innerHTML) && regExpTest(/<[/\w!]/g, currentNode.textContent)) {
        _forceRemove(currentNode);
        return true;
      }
      if (currentNode.nodeType === NODE_TYPE.progressingInstruction) {
        _forceRemove(currentNode);
        return true;
      }
      if (SAFE_FOR_XML && currentNode.nodeType === NODE_TYPE.comment && regExpTest(/<[/\w]/g, currentNode.data)) {
        _forceRemove(currentNode);
        return true;
      }
      if (!ALLOWED_TAGS[tagName] || FORBID_TAGS[tagName]) {
        if (!FORBID_TAGS[tagName] && _isBasicCustomElement(tagName)) {
          if (CUSTOM_ELEMENT_HANDLING.tagNameCheck instanceof RegExp && regExpTest(CUSTOM_ELEMENT_HANDLING.tagNameCheck, tagName)) {
            return false;
          }
          if (CUSTOM_ELEMENT_HANDLING.tagNameCheck instanceof Function && CUSTOM_ELEMENT_HANDLING.tagNameCheck(tagName)) {
            return false;
          }
        }
        if (KEEP_CONTENT && !FORBID_CONTENTS[tagName]) {
          const parentNode = getParentNode(currentNode) || currentNode.parentNode;
          const childNodes = getChildNodes(currentNode) || currentNode.childNodes;
          if (childNodes && parentNode) {
            const childCount = childNodes.length;
            for (let i = childCount - 1; i >= 0; --i) {
              const childClone = cloneNode(childNodes[i], true);
              childClone.__removalCount = (currentNode.__removalCount || 0) + 1;
              parentNode.insertBefore(childClone, getNextSibling(currentNode));
            }
          }
        }
        _forceRemove(currentNode);
        return true;
      }
      if (currentNode instanceof Element && !_checkValidNamespace(currentNode)) {
        _forceRemove(currentNode);
        return true;
      }
      if ((tagName === "noscript" || tagName === "noembed" || tagName === "noframes") && regExpTest(/<\/no(script|embed|frames)/i, currentNode.innerHTML)) {
        _forceRemove(currentNode);
        return true;
      }
      if (SAFE_FOR_TEMPLATES && currentNode.nodeType === NODE_TYPE.text) {
        content = currentNode.textContent;
        arrayForEach([MUSTACHE_EXPR2, ERB_EXPR2, TMPLIT_EXPR2], (expr) => {
          content = stringReplace(content, expr, " ");
        });
        if (currentNode.textContent !== content) {
          arrayPush(DOMPurify.removed, {
            element: currentNode.cloneNode()
          });
          currentNode.textContent = content;
        }
      }
      _executeHooks(hooks.afterSanitizeElements, currentNode, null);
      return false;
    };
    const _isValidAttribute = function _isValidAttribute2(lcTag, lcName, value) {
      if (SANITIZE_DOM && (lcName === "id" || lcName === "name") && (value in document2 || value in formElement)) {
        return false;
      }
      if (ALLOW_DATA_ATTR && !FORBID_ATTR[lcName] && regExpTest(DATA_ATTR2, lcName)) ;
      else if (ALLOW_ARIA_ATTR && regExpTest(ARIA_ATTR2, lcName)) ;
      else if (!ALLOWED_ATTR[lcName] || FORBID_ATTR[lcName]) {
        if (
          // First condition does a very basic check if a) it's basically a valid custom element tagname AND
          // b) if the tagName passes whatever the user has configured for CUSTOM_ELEMENT_HANDLING.tagNameCheck
          // and c) if the attribute name passes whatever the user has configured for CUSTOM_ELEMENT_HANDLING.attributeNameCheck
          _isBasicCustomElement(lcTag) && (CUSTOM_ELEMENT_HANDLING.tagNameCheck instanceof RegExp && regExpTest(CUSTOM_ELEMENT_HANDLING.tagNameCheck, lcTag) || CUSTOM_ELEMENT_HANDLING.tagNameCheck instanceof Function && CUSTOM_ELEMENT_HANDLING.tagNameCheck(lcTag)) && (CUSTOM_ELEMENT_HANDLING.attributeNameCheck instanceof RegExp && regExpTest(CUSTOM_ELEMENT_HANDLING.attributeNameCheck, lcName) || CUSTOM_ELEMENT_HANDLING.attributeNameCheck instanceof Function && CUSTOM_ELEMENT_HANDLING.attributeNameCheck(lcName)) || // Alternative, second condition checks if it's an `is`-attribute, AND
          // the value passes whatever the user has configured for CUSTOM_ELEMENT_HANDLING.tagNameCheck
          lcName === "is" && CUSTOM_ELEMENT_HANDLING.allowCustomizedBuiltInElements && (CUSTOM_ELEMENT_HANDLING.tagNameCheck instanceof RegExp && regExpTest(CUSTOM_ELEMENT_HANDLING.tagNameCheck, value) || CUSTOM_ELEMENT_HANDLING.tagNameCheck instanceof Function && CUSTOM_ELEMENT_HANDLING.tagNameCheck(value))
        ) ;
        else {
          return false;
        }
      } else if (URI_SAFE_ATTRIBUTES[lcName]) ;
      else if (regExpTest(IS_ALLOWED_URI$1, stringReplace(value, ATTR_WHITESPACE2, ""))) ;
      else if ((lcName === "src" || lcName === "xlink:href" || lcName === "href") && lcTag !== "script" && stringIndexOf(value, "data:") === 0 && DATA_URI_TAGS[lcTag]) ;
      else if (ALLOW_UNKNOWN_PROTOCOLS && !regExpTest(IS_SCRIPT_OR_DATA2, stringReplace(value, ATTR_WHITESPACE2, ""))) ;
      else if (value) {
        return false;
      } else ;
      return true;
    };
    const _isBasicCustomElement = function _isBasicCustomElement2(tagName) {
      return tagName !== "annotation-xml" && stringMatch(tagName, CUSTOM_ELEMENT2);
    };
    const _sanitizeAttributes = function _sanitizeAttributes2(currentNode) {
      _executeHooks(hooks.beforeSanitizeAttributes, currentNode, null);
      const {
        attributes
      } = currentNode;
      if (!attributes || _isClobbered(currentNode)) {
        return;
      }
      const hookEvent = {
        attrName: "",
        attrValue: "",
        keepAttr: true,
        allowedAttributes: ALLOWED_ATTR,
        forceKeepAttr: void 0
      };
      let l3 = attributes.length;
      while (l3--) {
        const attr = attributes[l3];
        const {
          name,
          namespaceURI,
          value: attrValue
        } = attr;
        const lcName = transformCaseFunc(name);
        const initValue = attrValue;
        let value = name === "value" ? initValue : stringTrim(initValue);
        hookEvent.attrName = lcName;
        hookEvent.attrValue = value;
        hookEvent.keepAttr = true;
        hookEvent.forceKeepAttr = void 0;
        _executeHooks(hooks.uponSanitizeAttribute, currentNode, hookEvent);
        value = hookEvent.attrValue;
        if (SANITIZE_NAMED_PROPS && (lcName === "id" || lcName === "name")) {
          _removeAttribute(name, currentNode);
          value = SANITIZE_NAMED_PROPS_PREFIX + value;
        }
        if (SAFE_FOR_XML && regExpTest(/((--!?|])>)|<\/(style|title)/i, value)) {
          _removeAttribute(name, currentNode);
          continue;
        }
        if (hookEvent.forceKeepAttr) {
          continue;
        }
        if (!hookEvent.keepAttr) {
          _removeAttribute(name, currentNode);
          continue;
        }
        if (!ALLOW_SELF_CLOSE_IN_ATTR && regExpTest(/\/>/i, value)) {
          _removeAttribute(name, currentNode);
          continue;
        }
        if (SAFE_FOR_TEMPLATES) {
          arrayForEach([MUSTACHE_EXPR2, ERB_EXPR2, TMPLIT_EXPR2], (expr) => {
            value = stringReplace(value, expr, " ");
          });
        }
        const lcTag = transformCaseFunc(currentNode.nodeName);
        if (!_isValidAttribute(lcTag, lcName, value)) {
          _removeAttribute(name, currentNode);
          continue;
        }
        if (trustedTypesPolicy && typeof trustedTypes === "object" && typeof trustedTypes.getAttributeType === "function") {
          if (namespaceURI) ;
          else {
            switch (trustedTypes.getAttributeType(lcTag, lcName)) {
              case "TrustedHTML": {
                value = trustedTypesPolicy.createHTML(value);
                break;
              }
              case "TrustedScriptURL": {
                value = trustedTypesPolicy.createScriptURL(value);
                break;
              }
            }
          }
        }
        if (value !== initValue) {
          try {
            if (namespaceURI) {
              currentNode.setAttributeNS(namespaceURI, name, value);
            } else {
              currentNode.setAttribute(name, value);
            }
            if (_isClobbered(currentNode)) {
              _forceRemove(currentNode);
            } else {
              arrayPop(DOMPurify.removed);
            }
          } catch (_2) {
            _removeAttribute(name, currentNode);
          }
        }
      }
      _executeHooks(hooks.afterSanitizeAttributes, currentNode, null);
    };
    const _sanitizeShadowDOM = function _sanitizeShadowDOM2(fragment) {
      let shadowNode = null;
      const shadowIterator = _createNodeIterator(fragment);
      _executeHooks(hooks.beforeSanitizeShadowDOM, fragment, null);
      while (shadowNode = shadowIterator.nextNode()) {
        _executeHooks(hooks.uponSanitizeShadowNode, shadowNode, null);
        _sanitizeElements(shadowNode);
        _sanitizeAttributes(shadowNode);
        if (shadowNode.content instanceof DocumentFragment) {
          _sanitizeShadowDOM2(shadowNode.content);
        }
      }
      _executeHooks(hooks.afterSanitizeShadowDOM, fragment, null);
    };
    DOMPurify.sanitize = function(dirty) {
      let cfg = arguments.length > 1 && arguments[1] !== void 0 ? arguments[1] : {};
      let body = null;
      let importedNode = null;
      let currentNode = null;
      let returnNode = null;
      IS_EMPTY_INPUT = !dirty;
      if (IS_EMPTY_INPUT) {
        dirty = "<!-->";
      }
      if (typeof dirty !== "string" && !_isNode(dirty)) {
        if (typeof dirty.toString === "function") {
          dirty = dirty.toString();
          if (typeof dirty !== "string") {
            throw typeErrorCreate("dirty is not a string, aborting");
          }
        } else {
          throw typeErrorCreate("toString is not a function");
        }
      }
      if (!DOMPurify.isSupported) {
        return dirty;
      }
      if (!SET_CONFIG) {
        _parseConfig(cfg);
      }
      DOMPurify.removed = [];
      if (typeof dirty === "string") {
        IN_PLACE = false;
      }
      if (IN_PLACE) {
        if (dirty.nodeName) {
          const tagName = transformCaseFunc(dirty.nodeName);
          if (!ALLOWED_TAGS[tagName] || FORBID_TAGS[tagName]) {
            throw typeErrorCreate("root node is forbidden and cannot be sanitized in-place");
          }
        }
      } else if (dirty instanceof Node2) {
        body = _initDocument("<!---->");
        importedNode = body.ownerDocument.importNode(dirty, true);
        if (importedNode.nodeType === NODE_TYPE.element && importedNode.nodeName === "BODY") {
          body = importedNode;
        } else if (importedNode.nodeName === "HTML") {
          body = importedNode;
        } else {
          body.appendChild(importedNode);
        }
      } else {
        if (!RETURN_DOM && !SAFE_FOR_TEMPLATES && !WHOLE_DOCUMENT && // eslint-disable-next-line unicorn/prefer-includes
        dirty.indexOf("<") === -1) {
          return trustedTypesPolicy && RETURN_TRUSTED_TYPE ? trustedTypesPolicy.createHTML(dirty) : dirty;
        }
        body = _initDocument(dirty);
        if (!body) {
          return RETURN_DOM ? null : RETURN_TRUSTED_TYPE ? emptyHTML : "";
        }
      }
      if (body && FORCE_BODY) {
        _forceRemove(body.firstChild);
      }
      const nodeIterator = _createNodeIterator(IN_PLACE ? dirty : body);
      while (currentNode = nodeIterator.nextNode()) {
        _sanitizeElements(currentNode);
        _sanitizeAttributes(currentNode);
        if (currentNode.content instanceof DocumentFragment) {
          _sanitizeShadowDOM(currentNode.content);
        }
      }
      if (IN_PLACE) {
        return dirty;
      }
      if (RETURN_DOM) {
        if (RETURN_DOM_FRAGMENT) {
          returnNode = createDocumentFragment.call(body.ownerDocument);
          while (body.firstChild) {
            returnNode.appendChild(body.firstChild);
          }
        } else {
          returnNode = body;
        }
        if (ALLOWED_ATTR.shadowroot || ALLOWED_ATTR.shadowrootmode) {
          returnNode = importNode.call(originalDocument, returnNode, true);
        }
        return returnNode;
      }
      let serializedHTML = WHOLE_DOCUMENT ? body.outerHTML : body.innerHTML;
      if (WHOLE_DOCUMENT && ALLOWED_TAGS["!doctype"] && body.ownerDocument && body.ownerDocument.doctype && body.ownerDocument.doctype.name && regExpTest(DOCTYPE_NAME, body.ownerDocument.doctype.name)) {
        serializedHTML = "<!DOCTYPE " + body.ownerDocument.doctype.name + ">\n" + serializedHTML;
      }
      if (SAFE_FOR_TEMPLATES) {
        arrayForEach([MUSTACHE_EXPR2, ERB_EXPR2, TMPLIT_EXPR2], (expr) => {
          serializedHTML = stringReplace(serializedHTML, expr, " ");
        });
      }
      return trustedTypesPolicy && RETURN_TRUSTED_TYPE ? trustedTypesPolicy.createHTML(serializedHTML) : serializedHTML;
    };
    DOMPurify.setConfig = function() {
      let cfg = arguments.length > 0 && arguments[0] !== void 0 ? arguments[0] : {};
      _parseConfig(cfg);
      SET_CONFIG = true;
    };
    DOMPurify.clearConfig = function() {
      CONFIG = null;
      SET_CONFIG = false;
    };
    DOMPurify.isValidAttribute = function(tag, attr, value) {
      if (!CONFIG) {
        _parseConfig({});
      }
      const lcTag = transformCaseFunc(tag);
      const lcName = transformCaseFunc(attr);
      return _isValidAttribute(lcTag, lcName, value);
    };
    DOMPurify.addHook = function(entryPoint, hookFunction) {
      if (typeof hookFunction !== "function") {
        return;
      }
      arrayPush(hooks[entryPoint], hookFunction);
    };
    DOMPurify.removeHook = function(entryPoint, hookFunction) {
      if (hookFunction !== void 0) {
        const index = arrayLastIndexOf(hooks[entryPoint], hookFunction);
        return index === -1 ? void 0 : arraySplice(hooks[entryPoint], index, 1)[0];
      }
      return arrayPop(hooks[entryPoint]);
    };
    DOMPurify.removeHooks = function(entryPoint) {
      hooks[entryPoint] = [];
    };
    DOMPurify.removeAllHooks = function() {
      hooks = _createHooksMap();
    };
    return DOMPurify;
  }
  var purify = createDOMPurify();

  // node_modules/highlight.js/es/index.js
  var import_lib = __toESM(require_lib(), 1);
  var es_default = import_lib.default;

  // src/index.js
  (function() {
    "use strict";
    const MAX_IMAGE_DIMENSION = 1280;
    const JPEG_QUALITY = 0.8;
    const INDENT_SPACES = "    ";
    const devMemGB = Math.max(2, Math.min(16, navigator.deviceMemory || 4));
    const PERF_SCALE = devMemGB <= 4 ? 0.75 : devMemGB >= 12 ? 1.25 : 1;
    const BASE_HEAVY_NOTE_THRESHOLD = 2e5;
    const BASE_LOCKDOWN_THRESHOLD = 1e6;
    const BASE_MD_CHUNK_TARGET = 32e3;
    const MAX_INITIAL_CHUNKS = 6;
    const HEAVY_NOTE_THRESHOLD = Math.floor(BASE_HEAVY_NOTE_THRESHOLD * PERF_SCALE);
    const LOCKDOWN_THRESHOLD = Math.floor(BASE_LOCKDOWN_THRESHOLD * PERF_SCALE);
    const MD_CHUNK_TARGET = Math.floor(BASE_MD_CHUNK_TARGET * PERF_SCALE);
    const CODE_VIRT_TRIGGER_CHARS = 1e5;
    const CODE_VIRT_TRIGGER_LINES = 2e3;
    const CODE_CHUNK_MAX_LINES = 400;
    const CODE_CHUNK_MAX_CHARS = 2e4;
    const runIdle = (cb) => {
      if (window.requestIdleCallback) return window.requestIdleCallback(cb, { timeout: 80 });
      return setTimeout(() => cb({ timeRemaining: () => 0, didTimeout: true }), 0);
    };
    const HLJS = es_default;
    const DEFINITIONS_HEADER = "<!-- sn-markdown-enhancer-definitions";
    const DEFINITIONS_FOOTER = "-->";
    let activeEditorInstance = null;
    let debouncedInputHandler = () => {
    };
    let isInternallyUpdating = false;
    let isLockdown = false;
    let globalHotkeyInstalled = false;
    function globalHotkeyHandler(e) {
      const meta = e.metaKey || e.ctrlKey;
      if (!meta) return;
      const k = e.key.toLowerCase();
      if (k === "p") {
        if (activeEditorInstance?.textarea && document.contains(activeEditorInstance.textarea) && typeof activeEditorInstance.handlePrint === "function") {
          e.preventDefault();
          e.stopPropagation();
          e.stopImmediatePropagation();
          activeEditorInstance.handlePrint();
        }
        return;
      }
      if (!activeEditorInstance) return;
      if (k === "b" || k === "i") {
        e.preventDefault();
        e.stopPropagation();
        e.stopImmediatePropagation();
        const ta = activeEditorInstance.textarea;
        if (ta && ta.isConnected) {
          if (document.activeElement !== ta) ta.focus();
          if (k === "b" && activeEditorInstance.applyBold) activeEditorInstance.applyBold();
          if (k === "i" && activeEditorInstance.applyItalic) activeEditorInstance.applyItalic();
        }
      }
    }
    if (!globalHotkeyInstalled) {
      window.addEventListener("keydown", globalHotkeyHandler, { capture: true });
      globalHotkeyInstalled = true;
    }
    const _desc = Object.getOwnPropertyDescriptor(window.HTMLTextAreaElement.prototype, "value");
    const nativeTextareaSetter = _desc && _desc.set ? _desc.set : function(v2) {
      this.value = v2;
    };
    if (!purify.__snHooksAdded) {
      purify.addHook("uponSanitizeAttribute", (node, data) => {
        const attr = data.attrName;
        const val = data.attrValue || "";
        const isHttpish = /^(https?:)?\/\//i.test(val) || /^\/(?!\/)/.test(val) || val.startsWith("#");
        const isMailTel = /^(mailto|tel):/i.test(val);
        const isSafeImgData = /^data:image\/(png|jpeg|jpg|webp|gif);base64,/i.test(val);
        if (attr === "href") {
          if (!(isHttpish || isMailTel)) data.keepAttr = false;
        } else if (attr === "src") {
          if (!(isHttpish || isSafeImgData)) data.keepAttr = false;
        }
        if (node.nodeName === "A" && attr === "href" && data.keepAttr !== false) {
          node.setAttribute("target", "_blank");
          node.setAttribute("rel", "noopener noreferrer");
        }
      });
      purify.addHook("afterSanitizeAttributes", (node) => {
        if (node.nodeName === "INPUT") {
          const t = (node.getAttribute("type") || "").toLowerCase();
          if (t !== "checkbox") node.remove();
        }
      });
      purify.__snHooksAdded = true;
    }
    const I18N = {
      en: {
        editor: "Editor",
        split: "Split",
        preview: "Preview",
        toggleToolbar: "Toggle Toolbar",
        exportPDF: "Print / Export as PDF",
        paragraph: "Paragraph",
        heading1: "Heading 1",
        heading2: "Heading 2",
        heading3: "Heading 3",
        heading4: "Heading 4",
        bold: "Bold",
        italic: "Italic",
        strikethrough: "Strikethrough",
        inlineCode: "Inline Code",
        quote: "Quote",
        list: "Bulleted List",
        numberedList: "Numbered List",
        checklist: "Checklist",
        codeBlock: "Code Block",
        link: "Link",
        insertTable: "Insert/Edit Table",
        horizontalRule: "Horizontal Rule",
        image: "Image",
        linkPrompt: "Enter the link URL:",
        boldPlaceholder: "bold text",
        italicPlaceholder: "italic text",
        strikethroughPlaceholder: "strikethrough",
        codePlaceholder: "code",
        quotePlaceholder: "quote",
        listItemPlaceholder: "item",
        taskPlaceholder: "task",
        linkTextPlaceholder: "link text",
        copy: "Copy",
        copied: "Copied!",
        copyError: "Error",
        copyAriaLabel: "Copy code to clipboard",
        previewErrorTitle: "An error occurred while updating the preview:",
        printPDF: "PDF",
        pastedImageAltText: "Pasted Image at",
        insertImage: "Insert Image",
        fromURL: "From URL",
        uploadFile: "Upload File",
        imageURL: "Image URL",
        altText: "Alt Text (optional)",
        chooseFile: "Choose a file...",
        insert: "Insert",
        close: "Close",
        processing: "Processing...",
        errorImageProcessing: "Failed to process image.",
        tableEditor: "Interactive Table Editor",
        addRow: "Add Row",
        addCol: "Add Column",
        deleteRow: "Delete Row",
        deleteCol: "Delete Column",
        alignLeft: "Align Left",
        alignCenter: "Align Center",
        alignRight: "Align Right",
        lockdownMsg: "Preview disabled for oversized note to keep the app responsive."
      },
      ja: {
        editor: "\u30A8\u30C7\u30A3\u30BF",
        split: "\u5206\u5272",
        preview: "\u30D7\u30EC\u30D3\u30E5\u30FC",
        toggleToolbar: "\u30C4\u30FC\u30EB\u30D0\u30FC\u8868\u793A\u5207\u66FF",
        exportPDF: "PDF\u3068\u3057\u3066\u5370\u5237/\u30A8\u30AF\u30B9\u30DD\u30FC\u30C8",
        paragraph: "\u6BB5\u843D",
        heading1: "\u898B\u51FA\u3057 1",
        heading2: "\u898B\u51FA\u3057 2",
        heading3: "\u898B\u51FA\u3057 3",
        heading4: "\u898B\u51FA\u3057 4",
        bold: "\u592A\u5B57",
        italic: "\u659C\u4F53",
        strikethrough: "\u6253\u3061\u6D88\u3057\u7DDA",
        inlineCode: "\u30A4\u30F3\u30E9\u30A4\u30F3\u30B3\u30FC\u30C9",
        quote: "\u5F15\u7528",
        list: "\u30EA\u30B9\u30C8",
        numberedList: "\u756A\u53F7\u4ED8\u304D\u30EA\u30B9\u30C8",
        checklist: "\u30C1\u30A7\u30C3\u30AF\u30EA\u30B9\u30C8",
        codeBlock: "\u30B3\u30FC\u30C9\u30D6\u30ED\u30C3\u30AF",
        link: "\u30EA\u30F3\u30AF",
        insertTable: "\u30C6\u30FC\u30D6\u30EB\u3092\u633F\u5165/\u7DE8\u96C6",
        horizontalRule: "\u6C34\u5E73\u7DDA",
        image: "\u753B\u50CF",
        linkPrompt: "\u30EA\u30F3\u30AF\u5148\u306EURL\u3092\u5165\u529B\u3057\u3066\u304F\u3060\u3055\u3044:",
        boldPlaceholder: "\u592A\u5B57",
        italicPlaceholder: "\u659C\u4F53",
        strikethroughPlaceholder: "\u6253\u3061\u6D88\u3057",
        codePlaceholder: "code",
        quotePlaceholder: "\u5F15\u7528\u6587",
        listItemPlaceholder: "\u9805\u76EE",
        taskPlaceholder: "\u30BF\u30B9\u30AF",
        linkTextPlaceholder: "\u30EA\u30F3\u30AF\u30C6\u30AD\u30B9\u30C8",
        copy: "\u30B3\u30D4\u30FC",
        copied: "\u30B3\u30D4\u30FC\u3057\u307E\u3057\u305F!",
        copyError: "\u30A8\u30E9\u30FC",
        copyAriaLabel: "\u30AF\u30EA\u30C3\u30D7\u30DC\u30FC\u30C9\u306B\u30B3\u30FC\u30C9\u3092\u30B3\u30D4\u30FC",
        previewErrorTitle: "\u30D7\u30EC\u30D3\u30E5\u30FC\u306E\u66F4\u65B0\u4E2D\u306B\u30A8\u30E9\u30FC\u304C\u767A\u751F\u3057\u307E\u3057\u305F:",
        printPDF: "PDF",
        pastedImageAltText: "\u8CBC\u308A\u4ED8\u3051\u3089\u308C\u305F\u753B\u50CF",
        insertImage: "\u753B\u50CF\u3092\u633F\u5165",
        fromURL: "URL\u304B\u3089",
        uploadFile: "\u30D5\u30A1\u30A4\u30EB\u3092\u30A2\u30C3\u30D7\u30ED\u30FC\u30C9",
        imageURL: "\u753B\u50CF\u306EURL",
        altText: "\u4EE3\u66FF\u30C6\u30AD\u30B9\u30C8\uFF08\u4EFB\u610F\uFF09",
        chooseFile: "\u30D5\u30A1\u30A4\u30EB\u3092\u9078\u629E...",
        insert: "\u633F\u5165",
        close: "\u9589\u3058\u308B",
        processing: "\u51E6\u7406\u4E2D...",
        errorImageProcessing: "\u753B\u50CF\u306E\u51E6\u7406\u306B\u5931\u6557\u3057\u307E\u3057\u305F\u3002",
        tableEditor: "\u30A4\u30F3\u30BF\u30E9\u30AF\u30C6\u30A3\u30D6 \u30C6\u30FC\u30D6\u30EB\u30A8\u30C7\u30A3\u30BF",
        addRow: "\u884C\u3092\u8FFD\u52A0",
        addCol: "\u5217\u3092\u8FFD\u52A0",
        deleteRow: "\u3053\u306E\u884C\u3092\u524A\u9664",
        deleteCol: "\u3053\u306E\u5217\u3092\u524A\u9664",
        alignLeft: "\u5DE6\u63C3\u3048",
        alignCenter: "\u4E2D\u592E\u63C3\u3048",
        alignRight: "\u53F3\u63C3\u3048",
        lockdownMsg: "\u30A2\u30D7\u30EA\u306E\u5FDC\u7B54\u6027\u3092\u4FDD\u3064\u305F\u3081\u3001\u8D85\u5DE8\u5927\u30CE\u30FC\u30C8\u3067\u306F\u30D7\u30EC\u30D3\u30E5\u30FC\u3092\u7121\u52B9\u5316\u3057\u3066\u3044\u307E\u3059\u3002"
      }
    };
    function resolveLang() {
      const n = (navigator.language || "").toLowerCase();
      const map = {
        "ja": "ja",
        "zh-cn": "zh-CN",
        "zh-tw": "zh-TW",
        "ko": "ko",
        "fr": "fr",
        "es": "es",
        "de": "de",
        "pt-br": "pt-BR",
        "ru": "ru"
      };
      const hit = Object.keys(map).find((k) => n.startsWith(k));
      return hit ? map[hit] : "en";
    }
    const lang = resolveLang();
    const T = I18N[lang] || I18N.en;
    const escAttr = (s) => String(s ?? "").replace(/&/g, "&amp;").replace(/</g, "&lt;").replace(/>/g, "&gt;").replace(/"/g, "&quot;").replace(/'/g, "&#39;");
    const escAlt = (s) => String(s ?? "").replace(/\]/g, "\\]").replace(/\r?\n/g, " ");
    const escUrl = (s) => {
      const str = String(s ?? "");
      if (str.startsWith("data:")) return str;
      try {
        return encodeURI(str);
      } catch {
        return str;
      }
    };
    const stripAngle = (s) => String(s ?? "").replace(/^\s*<|>\s*$/g, "");
    const formatLinkDestination = (urlStr) => {
      const raw = stripAngle(urlStr.trim());
      const encoded = escUrl(raw);
      return /[()\s<>"]/.test(encoded) ? `<${encoded.replace(/>/g, "%3E")}>` : encoded;
    };
    const insertLinkAtSelection = (textarea, urlStr, placeholderText) => {
      const dest = formatLinkDestination(urlStr);
      const start = textarea.selectionStart;
      const end = textarea.selectionEnd;
      const selectedText = textarea.value.substring(start, end);
      let linkText = selectedText || String(placeholderText ?? T.linkTextPlaceholder);
      linkText = linkText.replace(/\]/g, "\\]");
      const md = `[${linkText}](${dest})`;
      textarea.setRangeText(md, start, end, "end");
      if (!selectedText) {
        textarea.selectionStart = start + 1;
        textarea.selectionEnd = start + 1 + linkText.length;
      }
      textarea.focus();
      debouncedInputHandler();
    };
    const STORAGE_KEY_MODE = "snMarkdownEditorMode";
    const STORAGE_KEY_TOOLBAR_VISIBLE = "snMarkdownToolbarVisible";
    const PREVIEW_CONTAINER_CLASS = "sn-markdown-preview-container";
    const SCOPED_PREVIEW_STYLES = `
    .${PREVIEW_CONTAINER_CLASS} {
      overflow-y: auto;
      height: 100%;
      -webkit-overflow-scrolling: touch;
      padding: 16px;
      line-height: 1.7;
      font-size: 1.05rem;
      color: var(--sn-stylekit-foreground-color, #333);
    }
    .${PREVIEW_CONTAINER_CLASS} * { color: inherit; }
    .${PREVIEW_CONTAINER_CLASS} h1, .${PREVIEW_CONTAINER_CLASS} h2, .${PREVIEW_CONTAINER_CLASS} h3, .${PREVIEW_CONTAINER_CLASS} h4, .${PREVIEW_CONTAINER_CLASS} h5, .${PREVIEW_CONTAINER_CLASS} h6 { margin-top: 24px; margin-bottom: 16px; font-weight: 600; line-height: 1.25; border-bottom: 1px solid var(--sn-stylekit-border-color, #eee); padding-bottom: .3em; }
    .${PREVIEW_CONTAINER_CLASS} h1 { font-size: 2em; }
    .${PREVIEW_CONTAINER_CLASS} h2 { font-size: 1.5em; }
    .${PREVIEW_CONTAINER_CLASS} h3 { font-size: 1.25em; }
    .${PREVIEW_CONTAINER_CLASS} p { margin-bottom: 16px; }
    .${PREVIEW_CONTAINER_CLASS} ul, .${PREVIEW_CONTAINER_CLASS} ol { padding-left: 2em; margin-bottom: 16px; }
    .${PREVIEW_CONTAINER_CLASS} blockquote { padding: 0 1em; color: var(--sn-stylekit-secondary-foreground-color, #6a737d) !important; border-left: .25em solid var(--sn-stylekit-border-color, #dfe2e5); margin: 0 0 16px 0; }
    .${PREVIEW_CONTAINER_CLASS} code { padding: .2em .4em; margin: 0; font-size: 85%; background-color: var(--sn-stylekit-secondary-background-color, rgba(200,200,200,0.3)); border-radius: 3px; font-family: var(--sn-stylekit-font-code, monospace); }
    .${PREVIEW_CONTAINER_CLASS} pre { position: relative; padding: 16px; padding-top: 40px; overflow: auto; font-size: 85%; line-height: 1.45; background-color: var(--sn-stylekit-secondary-background-color, rgba(200,200,200,0.3)); border-radius: 6px; word-wrap: normal; margin-bottom: 16px; }
    .${PREVIEW_CONTAINER_CLASS} pre code { background-color: transparent; padding: 0; margin: 0; }
    .${PREVIEW_CONTAINER_CLASS} img { max-width: 100%; height: auto; border-radius: 6px; }
    .${PREVIEW_CONTAINER_CLASS} table { border-collapse: collapse; width: 100%; margin-bottom: 16px; display: block; overflow: auto; }
    .${PREVIEW_CONTAINER_CLASS} th, .${PREVIEW_CONTAINER_CLASS} td { border: 2px solid var(--sn-stylekit-border-color, #adb5bd); padding: 6px 13px; }
    .${PREVIEW_CONTAINER_CLASS} tr:nth-child(2n) { background-color: var(--sn-stylekit-secondary-background-color, #f6f8fa); }
    .${PREVIEW_CONTAINER_CLASS} hr { height: .25em; padding: 0; margin: 24px 0; background-color: var(--sn-stylekit-border-color, #dfe2e5); border: 0; }
    .${PREVIEW_CONTAINER_CLASS} li.task-list-item { list-style-type: none; }
    .${PREVIEW_CONTAINER_CLASS} li.task-list-item input[type="checkbox"] { margin: 0 0.2em 0.25em -1.6em; vertical-align: middle; cursor: pointer; }
    .${PREVIEW_CONTAINER_CLASS} .copy-code-button { position: absolute; top: 10px; right: 10px; padding: 5px 8px; font-size: 12px; border: 1px solid var(--sn-stylekit-border-color, #ccc); border-radius: 4px; background-color: var(--sn-stylekit-background-color, #fff); color: var(--sn-stylekit-secondary-foreground-color, #555) !important; cursor: pointer; opacity: 0; transition: opacity 0.2s, background-color 0.2s, color 0.2s; z-index: 1; }
    .${PREVIEW_CONTAINER_CLASS} pre:hover .copy-code-button { opacity: 1; }
    .${PREVIEW_CONTAINER_CLASS} .copy-code-button:hover { background-color: var(--sn-stylekit-secondary-background-color, #f0f0f0); }
    .${PREVIEW_CONTAINER_CLASS} .copy-code-button.copied { background-color: var(--sn-stylekit-primary-color, #346df1); color: var(--sn-stylekit-primary-contrast-color, #fff) !important; border-color: var(--sn-stylekit-primary-color, #346df1); }
    .${PREVIEW_CONTAINER_CLASS} .code-language-label { position: absolute; top: 10px; left: 10px; padding: 3px 6px; font-size: 12px; color: var(--sn-stylekit-secondary-foreground-color, #6a737d) !important; background-color: rgba(255, 255, 255, 0.7); border-radius: 4px; opacity: 0.7; z-index: 1; pointer-events: none; }
    .${PREVIEW_CONTAINER_CLASS} .preview-error { padding: 1rem; color: #d73a49 !important; background-color: #f8d7da; border: 1px solid #f5c6cb; border-radius: .25rem; }
    .${PREVIEW_CONTAINER_CLASS} .preview-error strong { font-weight: bold; }
    .${PREVIEW_CONTAINER_CLASS} .preview-error pre { white-space: pre-wrap; word-break: break-all; margin-top: 0.5rem; padding: 0; background: transparent; border: none; color: inherit; }
    .${PREVIEW_CONTAINER_CLASS} pre code.hljs { display: block; overflow-x: auto; padding: 0; color: var(--sn-stylekit-foreground-color, #333) !important; background: transparent; }
    .${PREVIEW_CONTAINER_CLASS} .hljs-comment, .${PREVIEW_CONTAINER_CLASS} .hljs-quote { color: var(--sn-stylekit-secondary-foreground-color, #6a737d) !important; font-style: italic; }
    .${PREVIEW_CONTAINER_CLASS} .hljs-keyword, .${PREVIEW_CONTAINER_CLASS} .hljs-selector-tag, .${PREVIEW_CONTAINER_CLASS} .hljs-subst, .${PREVIEW_CONTAINER_CLASS} .hljs-deletion, .${PREVIEW_CONTAINER_CLASS} .hljs-meta, .${PREVIEW_CONTAINER_CLASS} .hljs-selector-class { color: #d73a49 !important; }
    .${PREVIEW_CONTAINER_CLASS} .hljs-number, .${PREVIEW_CONTAINER_CLASS} .hljs-literal, .${PREVIEW_CONTAINER_CLASS} .hljs-variable, .${PREVIEW_CONTAINER_CLASS} .hljs-template-variable, .${PREVIEW_CONTAINER_CLASS} .hljs-tag .hljs-attr { color: var(--sn-stylekit-primary-color, #005cc5) !important; }
    .${PREVIEW_CONTAINER_CLASS} .hljs-string, .${PREVIEW_CONTAINER_CLASS} .hljs-doctag { color: #032f62 !important; }
    .${PREVIEW_CONTAINER_CLASS} .hljs-title, .${PREVIEW_CONTAINER_CLASS} .hljs-section, .${PREVIEW_CONTAINER_CLASS} .hljs-selector-id, .${PREVIEW_CONTAINER_CLASS} .hljs-type, .${PREVIEW_CONTAINER_CLASS} .hljs-symbol, .${PREVIEW_CONTAINER_CLASS} .hljs-bullet, .${PREVIEW_CONTAINER_CLASS} .hljs-link { color: #6f42c1 !important; }
    .${PREVIEW_CONTAINER_CLASS} .hljs-addition { color: #22863a !important; }
    .${PREVIEW_CONTAINER_CLASS} .hljs-emphasis { font-style: italic; }
    .${PREVIEW_CONTAINER_CLASS} .hljs-strong { font-weight: bold; }
    .${PREVIEW_CONTAINER_CLASS} a { color: var(--sn-stylekit-primary-color, #007bff) !important; text-decoration: underline; }
    .${PREVIEW_CONTAINER_CLASS} a:hover { text-decoration: none; }
    .${PREVIEW_CONTAINER_CLASS} pre code .code-chunk { display: block; }
    @media (prefers-color-scheme: dark) {
      .${PREVIEW_CONTAINER_CLASS} pre code.hljs .hljs-keyword, .${PREVIEW_CONTAINER_CLASS} pre code.hljs .hljs-selector-tag, .${PREVIEW_CONTAINER_CLASS} pre code.hljs .hljs-subst, .${PREVIEW_CONTAINER_CLASS} pre code.hljs .hljs-deletion, .${PREVIEW_CONTAINER_CLASS} pre code.hljs .hljs-meta, .${PREVIEW_CONTAINER_CLASS} pre code.hljs .hljs-selector-class { color: #ff7b72 !important; }
      .${PREVIEW_CONTAINER_CLASS} pre code.hljs .hljs-string, .${PREVIEW_CONTAINER_CLASS} pre code.hljs .hljs-doctag { color: #a5d6ff !important; }
      .${PREVIEW_CONTAINER_CLASS} pre code.hljs .hljs-title, .${PREVIEW_CONTAINER_CLASS} pre code.hljs .hljs-section, .${PREVIEW_CONTAINER_CLASS} pre code.hljs .hljs-selector-id, .${PREVIEW_CONTAINER_CLASS} pre code.hljs .hljs-type, .${PREVIEW_CONTAINER_CLASS} pre code.hljs .hljs-symbol, .${PREVIEW_CONTAINER_CLASS} .hljs-bullet, .${PREVIEW_CONTAINER_CLASS} .hljs-link { color: #d2a8ff !important; }
      .${PREVIEW_CONTAINER_CLASS} pre code.hljs .hljs-addition { color: #7ee787 !important; }
      .${PREVIEW_CONTAINER_CLASS} .code-language-label { background-color: rgba(0, 0, 0, 0.3); }
    }
  `;
    GM_addStyle(`
    .sn-markdown-hidden { display: none !important; }
    .sn-markdown-full-height { height: 100%; }
    .markdown-editor-container { display: flex; flex-direction: column; height: 100%; overflow: hidden; border: 1px solid var(--sn-stylekit-border-color, #e0e0e0); border-radius: 4px; }
    .mode-toggle-bar { flex-shrink: 0; padding: 4px 10px; background-color: var(--sn-stylekit-editor-background-color, #f9f9f9); border-bottom: 1px solid var(--sn-stylekit-border-color, #e0e0e0); display: flex; align-items: center; gap: 5px; }
    .mode-toggle-button { padding: 5px 12px; border: 1px solid var(--sn-stylekit-border-color, #ccc); border-radius: 6px; cursor: pointer; background-color: var(--sn-stylekit-background-color, #fff); color: var(--sn-stylekit-foreground-color, #333); font-size: 13px; }
    .mode-toggle-button.active { background-color: var(--sn-stylekit-primary-color, #346df1); color: var(--sn-stylekit-primary-contrast-color, #fff); border-color: var(--sn-stylekit-primary-color, #346df1); }
    .mode-toggle-button[disabled] { opacity: .55; cursor: not-allowed; }
    .toolbar-toggle-button { margin-left: auto; padding: 5px 8px; font-size: 13px; display: flex; align-items: center; justify-content: center; width: 30px; height: 30px; }
    .toolbar-toggle-button.active { background-color: var(--sn-stylekit-secondary-background-color, #f0f0f0); }
    .pdf-export-button { padding: 4px 10px; font-size: 12px; }
    .markdown-toolbar { flex-shrink: 0; display: flex; flex-wrap: wrap; align-items: center; padding: 8px 10px; gap: 8px; background-color: var(--sn-stylekit-editor-background-color, #f9f9f9); border-bottom: 1px solid var(--sn-stylekit-border-color, #e0e0e0); }
    .toolbar-button, .toolbar-select { padding: 4px 8px; border: 1px solid transparent; border-radius: 4px; cursor: pointer; background-color: var(--sn-stylekit-background-color, #fff); color: var(--sn-stylekit-foreground-color, #555); font-size: 14px; transition: all 0.2s; }
    .toolbar-button:hover, .toolbar-select:hover { background-color: var(--sn-stylekit-secondary-background-color, #f0f0f0); border-color: var(--sn-stylekit-border-color, #ccc); }
    .toolbar-button { font-weight: bold; }
    .toolbar-button.icon-button { font-weight: normal; padding: 5px; width: 30px; height: 30px; display: inline-flex; justify-content: center; align-items: center; }
    .toolbar-button.icon-button svg { width: 18px; height: 18px; fill: currentColor; }
    .toolbar-select { font-weight: bold; -webkit-appearance: none; -moz-appearance: none; appearance: none; padding-right: 20px; background-image: url('data:image/svg+xml;charset=US-ASCII,%3Csvg%20width%3D%2220%22%20height%3D%2220%22%20fill%3D%22%23555%22%20xmlns%3D%22http%3A%2F%2Fwww.w3.org%2F2000%2Fsvg%22%3E%3Cpath%20d%3D%22M5%208l5%205%205-5z%22%2F%3E%3C%2Fsvg%3E'); background-repeat: no-repeat; background-position: right 0px center; }
    .markdown-editor-container.toolbar-hidden .markdown-toolbar { display: none; }
    .editor-preview-wrapper { display: flex; flex-grow: 1; overflow: hidden; background-color: var(--sn-stylekit-editor-background-color, #fff); }
    .custom-markdown-textarea, .${PREVIEW_CONTAINER_CLASS} { flex-grow: 1; flex-shrink: 1; }
    .custom-markdown-textarea { border: none !important; outline: none !important; resize: none !important; box-shadow: none !important; padding: 16px !important; margin: 0 !important; width: 100% !important; background-color: transparent !important; color: var(--sn-stylekit-foreground-color, #333) !important; font-family: var(--sn-stylekit-font-editor, sans-serif) !important; line-height: var(--sn-stylekit-line-height-editor, 1.7) !important; height: 100%; overflow-y: auto; }
    .markdown-editor-container.mode-editor .${PREVIEW_CONTAINER_CLASS} { display: none; }
    .markdown-editor-container.mode-preview .markdown-toolbar, .markdown-editor-container.mode-preview .custom-markdown-textarea { display: none; }
    .markdown-editor-container.mode-preview .${PREVIEW_CONTAINER_CLASS} { display: block; }
    .markdown-editor-container.mode-split .custom-markdown-textarea {
        display: block;
        width: var(--sn-markdown-splitter-position, 50%);
        flex-basis: var(--sn-markdown-splitter-position, 50%);
        flex-grow: 0;
        flex-shrink: 0;
    }
    .markdown-editor-container.mode-split .${PREVIEW_CONTAINER_CLASS} {
        display: block;
        flex-grow: 1;
        flex-shrink: 1;
        width: auto;
        flex-basis: auto;
        border-left: 1px solid var(--sn-stylekit-border-color, #e0e0e0);
    }
    .markdown-editor-container.mode-split .editor-preview-wrapper {
        --sn-markdown-splitter-position: 50%;
    }
    .sn-markdown-splitter {
        flex-basis: 5px;
        flex-shrink: 0;
        flex-grow: 0;
        background-color: var(--sn-stylekit-border-color, #e0e0e0);
        cursor: col-resize;
        transition: background-color 0.2s;
        position: relative;
        z-index: 10;
    }
    .sn-markdown-splitter:hover,
    body.is-resizing .sn-markdown-splitter {
        background-color: var(--sn-stylekit-primary-color, #346df1);
    }
    body.is-resizing {
        cursor: col-resize;
        user-select: none;
    }

    /* \u30C1\u30E3\u30F3\u30AF\u4EEE\u60F3\u5316 */
    .${PREVIEW_CONTAINER_CLASS} .preview-chunk {
      content-visibility: auto;
      contain-intrinsic-size: 1000px;
      contain: content;
      margin-bottom: 12px;
    }

    /* \u30ED\u30C3\u30AF\u30C0\u30A6\u30F3\u8868\u793A */
    .lockdown-indicator {
      margin-left: 6px;
      font-size: 12px;
      color: #b00020;
      background: #fdecea;
      border: 1px solid #f5c2c7;
      padding: 3px 6px;
      border-radius: 4px;
      user-select: none;
    }

    @media print {
      body > *:not(.print-container) { display: none !important; }
      .print-container > style { display: none !important; }
      .print-container, .print-container > .print-content, .print-container > .raw-text-print { display: block !important; width: 100% !important; height: auto !important; overflow: visible !important; }
      html, body { margin: 0 !important; padding: 0 !important; background: #fff !important; }
      .print-content { padding: 2cm !important; border: none !important; box-shadow: none !important; color: #000 !important; background-color: #fff !important; font-size: 12pt !important; line-height: 1.5 !important; }
      .print-content h1, .print-content h2, .print-content h3, .print-content h4, .print-content h5, .print-content h6 { color: #000 !important; border-bottom-color: #ccc !important; }
      .print-content pre, .print-content code { background-color: #f0f0f0 !important; color: #000 !important; border: 1px solid #ccc !important; }
      .print-content pre code.hljs { color: #000 !important; }
      .print-content blockquote { color: #333 !important; border-left-color: #ccc !important; }
      .print-content tr:nth-child(2n) { background-color: #f6f8fa !important; }
      .print-content th, .print-content td { border-color: #ccc !important; }
      .copy-code-button, .code-language-label { display: none !important; }
      .raw-text-print { margin: 0 !important; padding: 2cm !important; white-space: pre-wrap !important; word-wrap: break-word !important; font-family: 'Menlo', 'Monaco', 'Consolas', 'Courier New', monospace; font-size: 10pt !important; color: #000 !important; background: #fff !important; }
      pre, blockquote, table, img, h1, h2, h3, h4 { page-break-inside: avoid; }
      h1, h2, h3 { page-break-after: avoid; }
    }

    /* Modal / Table editor styles */
    .sn-modal-overlay { position: fixed; top: 0; left: 0; width: 100%; height: 100%; background-color: rgba(0, 0, 0, 0.6); z-index: 9999; display: flex; align-items: center; justify-content: center; }
    .sn-modal-content { background-color: var(--sn-stylekit-background-color, #fff); color: var(--sn-stylekit-foreground-color, #333); padding: 20px; border-radius: 8px; box-shadow: 0 5px 15px rgba(0,0,0,0.3); display: flex; flex-direction: column; max-height: 90vh; }
    .sn-modal-content-image { max-width: 500px; width: 90%; }
    .sn-modal-content-table { width: -moz-fit-content; width: fit-content; min-width: 360px; max-width: 90vw; }
    .sn-modal-header { display: flex; justify-content: space-between; align-items: center; border-bottom: 1px solid var(--sn-stylekit-border-color, #eee); padding-bottom: 10px; margin-bottom: 15px; flex-shrink: 0; }
    .sn-modal-header h3 { margin: 0; font-size: 18px; }
    .sn-modal-close { background: none; border: none; font-size: 24px; cursor: pointer; color: var(--sn-stylekit-secondary-foreground-color, #888); padding: 0 8px; }
    .sn-modal-body { flex-grow: 1; overflow-y: auto; position: relative; }
    .sn-modal-footer { text-align: right; margin-top: 15px; border-top: 1px solid var(--sn-stylekit-border-color, #eee); padding-top: 15px; flex-shrink: 0; }
    .sn-modal-insert-btn { padding: 8px 16px; border-radius: 5px; border: none; background-color: var(--sn-stylekit-primary-color, #346df1); color: var(--sn-stylekit-primary-contrast-color, #fff); cursor: pointer; }
    .sn-modal-insert-btn:disabled { background-color: var(--sn-stylekit-secondary-background-color, #f0f0f0); color: var(--sn-stylekit-secondary-foreground-color, #a0a0a0); cursor: not-allowed; }
    .sn-modal-tabs { display: flex; border-bottom: 1px solid var(--sn-stylekit-border-color, #eee); margin-bottom: 15px; }
    .sn-modal-tab { padding: 10px 15px; cursor: pointer; border-bottom: 2px solid transparent; margin-bottom: -1px; }
    .sn-modal-tab.active { border-bottom-color: var(--sn-stylekit-primary-color, #346df1); font-weight: bold; }
    .sn-modal-tab-content { display: none; padding: 5px 0; }
    .sn-modal-tab-content.active { display: block; }
    .sn-modal-form-group { margin-bottom: 15px; }
    .sn-modal-form-group label { display: block; margin-bottom: 5px; font-weight: 500; }
    .sn-modal-input { width: 100%; padding: 8px; border: 1px solid var(--sn-stylekit-border-color, #ccc); border-radius: 4px; box-sizing: border-box; background-color: var(--sn-stylekit-background-color, #fff); color: var(--sn-stylekit-foreground-color, #333); }
    .sn-modal-file-wrapper { position: relative; }
    .sn-modal-file-label { display: block; padding: 12px; border: 2px dashed var(--sn-stylekit-border-color, #ccc); border-radius: 4px; text-align: center; cursor: pointer; transition: border-color 0.2s; }
    .sn-modal-file-label:hover { border-color: var(--sn-stylekit-primary-color, #346df1); }
    .sn-modal-file-input[type="file"] { display: none; }
    .sn-modal-processing-indicator { margin-top: 10px; font-style: italic; color: var(--sn-stylekit-secondary-foreground-color, #888); text-align: center; }
    .sn-modal-image-preview { max-height: 150px; max-width: 100%; border: 1px solid var(--sn-stylekit-border-color, #ccc); border-radius: 4px; margin-top: 10px; }
    .sn-modal-content-table .sn-modal-body { overflow: hidden; }
    .sn-table-editor-container { position: relative; height: 100%; display: flex; flex-direction: column; }
    .sn-table-scroll-container { overflow: auto; flex-grow: 1; padding: 40px 0 0 40px; }
    .sn-table-editor { border-collapse: collapse; }
    .sn-table-editor th, .sn-table-editor td { border: 1px solid var(--sn-stylekit-border-color, #ccc); padding: 2px; position: relative; }
    .sn-table-editor .cell-input { width: 100%; height: 100%; border: none; outline: none; padding: 8px; background: transparent; color: var(--sn-stylekit-foreground-color, #333); font-size: 14px; box-sizing: border-box; min-height: 38px; }
    .sn-table-editor .cell-input::placeholder { color: var(--sn-stylekit-secondary-foreground-color, #e8e8e8); opacity: 0.4; }
    .sn-table-editor .cell-input:focus { background-color: var(--sn-stylekit-secondary-background-color, #f0f0f0); }
    .sn-table-editor th { background-color: var(--sn-stylekit-secondary-background-color, #f6f8fa); }
    .col-header { cursor: pointer; padding: 8px; display: flex; align-items: center; justify-content: center; gap: 4px; }
    .col-header:hover { background-color: var(--sn-stylekit-border-color, #e0e0e0); }
    .align-icon { font-size: 10px; font-weight: bold; }
    .delete-btn { position: absolute; cursor: pointer; background: #fff; border: 1px solid #ccc; border-radius: 50%; width: 20px; height: 20px; display: flex; align-items: center; justify-content: center; color: #d73a49; font-size: 14px; line-height: 1; opacity: 0.2; transition: opacity 0.2s; z-index: 5; }
    .delete-btn:hover { opacity: 1; background-color: #d73a49; color: white; border-color: #d73a49; }
    .delete-col-btn { top: -30px; left: 50%; transform: translateX(-50%); }
    .delete-row-btn { left: -30px; top: 50%; transform: translateY(-50%); }
    .sn-table-editor tr:hover .delete-row-btn { opacity: 1; }
    .sn-table-editor th:hover .delete-col-btn { opacity: 1; }
    .add-btn { position: relative; cursor: pointer; background: var(--sn-stylekit-primary-color, #346df1); color: white; border: 1px solid white; border-radius: 50%; width: 22px; height: 22px; display: inline-flex; align-items: center; justify-content: center; font-weight: bold; font-size: 16px; line-height: 1; z-index: 10; }
    .control-cell { border: none !important; background: transparent !important; text-align: center; vertical-align: middle; padding: 4px !important; }
    .sn-table-editor thead .control-cell { position: -webkit-sticky; position: sticky; left: 0; background-color: var(--sn-stylekit-editor-background-color, #f9f9f9) !important; }
    .sn-table-editor thead .control-cell:last-child { right: 0; left: auto; }
    .sn-table-editor .drag-handle { cursor: grab; color: var(--sn-stylekit-secondary-foreground-color, #888); padding: 0 8px; user-select: none; }
    .sn-table-editor .drag-handle:active { cursor: grabbing; }
    .sn-table-editor .dragging { opacity: 0.5; background: var(--sn-stylekit-secondary-background-color, #f0f0f0); }
    .sn-table-editor tr.drag-over-row { box-shadow: inset 0 2px var(--sn-stylekit-primary-color, #346df1); }
    .sn-table-editor th.drag-over-col { box-shadow: inset 2px 0 var(--sn-stylekit-primary-color, #346df1); }
    .col-header-content { display: flex; align-items: center; justify-content: center; }

    /* PREVIEW STYLES (Scoped) */
    ${SCOPED_PREVIEW_STYLES}
  `);
    function debounce(func, wait) {
      let timeout;
      return function executedFunction(...args) {
        const later = () => {
          clearTimeout(timeout);
          func(...args);
        };
        clearTimeout(timeout);
        timeout = setTimeout(later, wait);
      };
    }
    const STORAGE_KEY_SPLITTER = "snMarkdownSplitterPosition";
    function setupSplitter(container, splitter, editorPane) {
      const MIN_PERCENT = 15;
      const MAX_PERCENT = 85;
      const savedPosition = parseFloat(localStorage.getItem(STORAGE_KEY_SPLITTER));
      const initialPosition = savedPosition && savedPosition >= MIN_PERCENT && savedPosition <= MAX_PERCENT ? savedPosition : 50;
      container.style.setProperty("--sn-markdown-splitter-position", `${initialPosition}%`);
      let isDragging = false;
      let startX = 0;
      let startWidth = 0;
      const handleMouseDown = (e) => {
        e.preventDefault();
        e.stopPropagation();
        isDragging = true;
        startX = e.clientX;
        startWidth = editorPane.offsetWidth;
        document.body.classList.add("is-resizing");
        window.addEventListener("mousemove", handleMouseMove);
        window.addEventListener("mouseup", handleMouseUp);
      };
      const handleMouseMove = (e) => {
        if (!isDragging) return;
        requestAnimationFrame(() => {
          const deltaX = e.clientX - startX;
          const containerWidth = container.offsetWidth;
          if (containerWidth === 0) return;
          const newWidth = startWidth + deltaX;
          let newPercent = newWidth / containerWidth * 100;
          newPercent = Math.max(MIN_PERCENT, Math.min(MAX_PERCENT, newPercent));
          container.style.setProperty("--sn-markdown-splitter-position", `${newPercent}%`);
        });
      };
      const handleMouseUp = () => {
        if (!isDragging) return;
        isDragging = false;
        document.body.classList.remove("is-resizing");
        window.removeEventListener("mousemove", handleMouseMove);
        window.removeEventListener("mouseup", handleMouseUp);
        const finalPosition = container.style.getPropertyValue("--sn-markdown-splitter-position");
        if (finalPosition) {
          localStorage.setItem(STORAGE_KEY_SPLITTER, parseFloat(finalPosition));
        }
      };
      splitter.addEventListener("mousedown", handleMouseDown);
      return {
        destroy: () => {
          splitter.removeEventListener("mousedown", handleMouseDown);
          window.removeEventListener("mousemove", handleMouseMove);
          window.removeEventListener("mouseup", handleMouseUp);
          if (document.body.classList.contains("is-resizing")) {
            document.body.classList.remove("is-resizing");
          }
        }
      };
    }
    function createIcon(pathData) {
      const svgNS = "http://www.w3.org/2000/svg";
      const svg2 = document.createElementNS(svgNS, "svg");
      svg2.setAttribute("viewBox", "0 0 24 24");
      const path = document.createElementNS(svgNS, "path");
      path.setAttribute("d", pathData);
      path.setAttribute("fill", "currentColor");
      svg2.appendChild(path);
      return svg2;
    }
    function setupTitleEnterListener() {
      const titleSelector = 'textarea[aria-label*="Note title"], #note-title-editor';
      const titleInput = document.querySelector(titleSelector);
      if (titleInput && !titleInput.dataset.enterKeyHandlerAttached) {
        titleInput.dataset.enterKeyHandlerAttached = "true";
        let isComposing = false;
        titleInput.addEventListener("compositionstart", () => {
          isComposing = true;
        });
        titleInput.addEventListener("compositionend", () => {
          isComposing = false;
        });
        titleInput.addEventListener("keydown", (e) => {
          if (e.key === "Enter" && !isComposing && !e.shiftKey && !e.metaKey && !e.ctrlKey && !e.altKey) {
            e.preventDefault();
            handleFocusToEditor();
          }
        });
      }
    }
    function handleFocusToEditor() {
      if (activeEditorInstance) {
        const { textarea, switchMode } = activeEditorInstance;
        const currentMode = localStorage.getItem(STORAGE_KEY_MODE) || "split";
        if (currentMode === "preview") {
          switchMode("split", true);
        } else {
          textarea.focus();
        }
        requestAnimationFrame(() => {
          const len = textarea.value.length;
          textarea.setSelectionRange(len, len);
          textarea.scrollTop = textarea.scrollHeight;
        });
      }
    }
    function setupMarkdownEditor(originalTextarea, isNewNoteSetup = false) {
      if (!originalTextarea || !originalTextarea.parentElement) {
        console.warn("Markdown Editor: Setup aborted. Target textarea is not attached to the DOM.");
        return;
      }
      if (originalTextarea.dataset.markdownReady) return;
      originalTextarea.dataset.markdownReady = "true";
      let destroyed = false;
      d.setOptions({ gfm: true, breaks: true, smartLists: true, langPrefix: "language-" });
      const definitionsRegex = new RegExp(`\\n*${DEFINITIONS_HEADER}[\\s\\S]*?${DEFINITIONS_FOOTER}`, "g");
      const editorWrapper = originalTextarea.parentElement;
      editorWrapper.classList.add("sn-markdown-hidden", "sn-markdown-full-height");
      editorWrapper.dataset.snMarkdownHiddenByEnhancer = "1";
      const container = document.createElement("div");
      container.className = "markdown-editor-container";
      const markdownTextarea = document.createElement("textarea");
      markdownTextarea.className = originalTextarea.className + " custom-markdown-textarea";
      markdownTextarea.spellcheck = false;
      markdownTextarea.setAttribute("aria-label", "Enhanced Markdown Editor");
      let definitionsText = "";
      const extractAndSetContent = (fullText) => {
        const match = fullText.match(definitionsRegex);
        if (match) {
          definitionsText = match.join("\n\n");
          markdownTextarea.value = fullText.replace(definitionsRegex, "").trimEnd();
        } else {
          definitionsText = "";
          markdownTextarea.value = fullText;
        }
      };
      const getFullContent = () => {
        const mainContent = markdownTextarea.value.replace(/\r\n/g, "\n").replace(/\r/g, "\n").trimEnd();
        const defs = definitionsText.replace(DEFINITIONS_HEADER, "").replace(DEFINITIONS_FOOTER, "").trim();
        if (defs) {
          return `${mainContent}

${DEFINITIONS_HEADER}
${defs}
${DEFINITIONS_FOOTER}`;
        }
        return mainContent;
      };
      const resizeAndEncodeImage = (file) => {
        return new Promise((resolve, reject) => {
          if (!file.type.startsWith("image/")) {
            return reject(new Error("File is not an image."));
          }
          if (/^image\/gif$/i.test(file.type)) {
            const fr = new FileReader();
            fr.onload = () => resolve(fr.result);
            fr.onerror = () => reject(new Error("Failed to read GIF."));
            fr.readAsDataURL(file);
            return;
          }
          const reader = new FileReader();
          reader.onload = (event) => {
            const img = new Image();
            img.onload = () => {
              let { width, height } = img;
              if (width > MAX_IMAGE_DIMENSION || height > MAX_IMAGE_DIMENSION) {
                if (width > height) {
                  height = Math.round(height * (MAX_IMAGE_DIMENSION / width));
                  width = MAX_IMAGE_DIMENSION;
                } else {
                  width = Math.round(width * (MAX_IMAGE_DIMENSION / height));
                  height = MAX_IMAGE_DIMENSION;
                }
              }
              const canvas = document.createElement("canvas");
              canvas.width = width;
              canvas.height = height;
              const ctx = canvas.getContext("2d");
              const preserveAlpha = /image\/(png|webp)/i.test(file.type);
              if (!preserveAlpha) {
                ctx.fillStyle = "#FFFFFF";
                ctx.fillRect(0, 0, width, height);
              }
              ctx.drawImage(img, 0, 0, width, height);
              let dataUrl;
              if (!preserveAlpha) {
                try {
                  dataUrl = canvas.toDataURL("image/webp", JPEG_QUALITY);
                  if (!/^data:image\/webp;/.test(dataUrl)) throw new Error("no-webp");
                } catch {
                  dataUrl = canvas.toDataURL("image/jpeg", JPEG_QUALITY);
                }
              } else {
                dataUrl = canvas.toDataURL("image/png");
              }
              resolve(dataUrl);
            };
            img.onerror = () => reject(new Error("Failed to load image."));
            img.src = event.target.result;
          };
          reader.onerror = () => reject(new Error("Failed to read file."));
          reader.readAsDataURL(file);
        });
      };
      function applyMarkdown(textarea, prefix, suffix = "", placeholder = "") {
        const start = textarea.selectionStart;
        const end = textarea.selectionEnd;
        let selectedText = textarea.value.substring(start, end);
        const textBefore = textarea.value.substring(start - prefix.length, start);
        const textAfter = textarea.value.substring(end, end + suffix.length);
        if (textBefore === prefix && textAfter === suffix) {
          textarea.setRangeText(selectedText, start - prefix.length, end + suffix.length, "select");
        } else if (
          // 言語指定付き ```lang も許可
          prefix === "```\n" && selectedText.startsWith("```") && selectedText.endsWith(suffix) || selectedText.startsWith(prefix) && selectedText.endsWith(suffix)
        ) {
          const unwrappedText = selectedText.slice(prefix.length, -suffix.length || void 0);
          textarea.setRangeText(unwrappedText, start, end, "select");
        } else {
          if (selectedText) {
            textarea.setRangeText(prefix + selectedText + suffix, start, end, "select");
          } else {
            textarea.setRangeText(prefix + placeholder + suffix, start, end, "end");
            if (placeholder) {
              textarea.selectionStart = start + prefix.length;
              textarea.selectionEnd = start + prefix.length + placeholder.length;
            }
          }
        }
        textarea.focus();
        debouncedInputHandler();
      }
      const insertImageAsReference = (base64data, altText) => {
        const timestamp = /* @__PURE__ */ new Date();
        const finalAltText = altText || `${T.pastedImageAltText} ${timestamp.toLocaleString(lang)}`;
        const refId = `image-ref-${timestamp.getTime()}`;
        const markdownImageRef = `![${escAlt(finalAltText)}][${refId}]`;
        applyMarkdown(markdownTextarea, markdownImageRef);
        const markdownImageDef = `[${refId}]: ${escUrl(base64data)}`;
        let currentDefs = definitionsText.replace(DEFINITIONS_HEADER, "").replace(DEFINITIONS_FOOTER, "").trim();
        currentDefs = (currentDefs ? currentDefs + "\n" : "") + markdownImageDef;
        definitionsText = `${DEFINITIONS_HEADER}
${currentDefs}
${DEFINITIONS_FOOTER}`;
        debouncedInputHandler();
      };
      const textToTable = (text2) => {
        const rows = text2.trim().split("\n").map((row) => row.split("	"));
        const colCount = Math.max(...rows.map((row) => row.length));
        const esc = (s) => String(s ?? "").replace(/\|/g, "\\|").replace(/`/g, "\\`");
        let markdown = `| ${rows[0].map((h2) => esc(h2) || " ").join(" | ")} |
`;
        markdown += `|${" :--- |".repeat(colCount)}
`;
        for (let i = 1; i < rows.length; i++) {
          markdown += `| ${rows[i].map((c) => esc(c) || " ").join(" | ")} |
`;
        }
        return markdown;
      };
      const handlePaste = async (event) => {
        const clipboardData = event.clipboardData;
        if (!clipboardData) return;
        const imageItem = Array.from(clipboardData.items).find((item) => item.type.startsWith("image/"));
        if (imageItem) {
          const file = imageItem.getAsFile();
          if (file) {
            event.preventDefault();
            try {
              const resizedBase64 = await resizeAndEncodeImage(file);
              insertImageAsReference(resizedBase64, null);
            } catch (error) {
              console.error("Image processing failed:", error);
            }
          }
          return;
        }
        const text2 = clipboardData.getData("text/plain");
        if (text2.includes("	") && text2.includes("\n")) {
          event.preventDefault();
          const tableMd = textToTable(text2);
          const start = markdownTextarea.selectionStart;
          const end = markdownTextarea.selectionEnd;
          markdownTextarea.setRangeText(tableMd, start, end, "end");
          debouncedInputHandler();
        }
      };
      const parseMarkdownTable = (text2) => {
        if (!text2 || typeof text2 !== "string" || !text2.includes("|")) return null;
        const lines = text2.trim().split("\n").map((l3) => l3.trim()).filter((l3) => l3.includes("|"));
        if (lines.length < 2) return null;
        const headerLine = lines[0];
        const separatorLine = lines[1];
        const dataLines = lines.slice(2);
        const parseRow = (rowString) => {
          const trimmed = rowString.trim();
          const content = trimmed.startsWith("|") && trimmed.endsWith("|") ? trimmed.slice(1, -1) : trimmed;
          return content.split("|").map((cell) => cell.trim());
        };
        const separatorParts = parseRow(separatorLine);
        if (!separatorParts.every((part) => /^:?-+:?$/.test(part))) return null;
        const header = parseRow(headerLine);
        const numCols = header.length;
        if (separatorParts.length !== numCols) return null;
        const alignments = separatorParts.map((part) => {
          const left = part.startsWith(":");
          const right = part.endsWith(":");
          if (left && right) return "center";
          if (right) return "right";
          return "left";
        });
        const rows = [header, ...dataLines.map((line) => {
          const rowData = parseRow(line);
          while (rowData.length < numCols) rowData.push("");
          return rowData.slice(0, numCols);
        })];
        return { rows, alignments };
      };
      function attachModalA11yBasics(modalOverlay, closeModal) {
        const onKey = (e) => {
          if (e.key === "Escape") {
            e.preventDefault();
            closeModal();
          }
        };
        document.addEventListener("keydown", onKey, { passive: false });
        return () => document.removeEventListener("keydown", onKey);
      }
      const isSafeInputUrl = (urlStr, { allowImageData = false } = {}) => {
        const s = (urlStr || "").trim();
        if (!s) return false;
        if (/^#/.test(s) || /^\/(?!\/)/.test(s)) return true;
        if (/^(https?:|mailto:|tel:)/i.test(s)) return true;
        if (allowImageData && /^data:image\/(png|jpeg|jpg|webp|gif);base64,/i.test(s)) return true;
        return false;
      };
      const openImageInserterModal = (onInsertCallback) => {
        const modalOverlay = document.createElement("div");
        modalOverlay.className = "sn-modal-overlay";
        modalOverlay.innerHTML = `
        <div class="sn-modal-content sn-modal-content-image" role="dialog" aria-modal="true" aria-label="${T.insertImage}">
          <div class="sn-modal-header">
            <h3>${T.insertImage}</h3>
            <button class="sn-modal-close" title="${T.close}" aria-label="${T.close}">&times;</button>
          </div>
          <div class="sn-modal-body">
            <div class="sn-modal-tabs" role="tablist">
              <div class="sn-modal-tab active" data-tab="url" role="tab" aria-selected="true">${T.fromURL}</div>
              <div class="sn-modal-tab" data-tab="upload" role="tab" aria-selected="false">${T.uploadFile}</div>
            </div>
            <div class="sn-modal-tab-content active" data-tab-content="url">
              <div class="sn-modal-form-group">
                <label for="sn-image-url">${T.imageURL}</label>
                <input type="text" id="sn-image-url" class="sn-modal-input" placeholder="[https://example.com/image.jpg](https://example.com/image.jpg)">
              </div>
            </div>
            <div class="sn-modal-tab-content" data-tab-content="upload">
              <div class="sn-modal-form-group">
                <label class="sn-modal-file-wrapper">
                  <span class="sn-modal-file-label">${T.chooseFile}</span>
                  <input type="file" class="sn-modal-file-input" accept="image/*" aria-label="${T.uploadFile}">
                </label>
                <div class="sn-modal-processing-indicator"></div>
              </div>
            </div>
            <div class="sn-modal-form-group">
              <label for="sn-image-alt">${T.altText}</label>
              <input type="text" id="sn-image-alt" class="sn-modal-input" placeholder="A description of the image">
            </div>
          </div>
          <div class="sn-modal-footer">
            <button class="sn-modal-insert-btn">${T.insert}</button>
          </div>
        </div>`;
        document.body.appendChild(modalOverlay);
        const content = modalOverlay.querySelector(".sn-modal-content");
        const urlInput = modalOverlay.querySelector("#sn-image-url");
        const altInput = modalOverlay.querySelector("#sn-image-alt");
        const fileInput = modalOverlay.querySelector(".sn-modal-file-input");
        const fileLabel = modalOverlay.querySelector(".sn-modal-file-label");
        const processingIndicator = modalOverlay.querySelector(".sn-modal-processing-indicator");
        const insertBtn = modalOverlay.querySelector(".sn-modal-insert-btn");
        const closeModal = () => {
          if (document.body.contains(modalOverlay)) document.body.removeChild(modalOverlay);
          cleanupKey();
        };
        const cleanupKey = attachModalA11yBasics(modalOverlay, closeModal);
        let base64data = null;
        let currentTab = "url";
        modalOverlay.querySelectorAll(".sn-modal-tab").forEach((tab) => {
          tab.onclick = () => {
            currentTab = tab.dataset.tab;
            modalOverlay.querySelectorAll(".sn-modal-tab").forEach((t) => {
              t.classList.remove("active");
              t.setAttribute("aria-selected", "false");
            });
            modalOverlay.querySelectorAll(".sn-modal-tab-content").forEach((c) => c.classList.remove("active"));
            tab.classList.add("active");
            tab.setAttribute("aria-selected", "true");
            modalOverlay.querySelector(`.sn-modal-tab-content[data-tab-content="${currentTab}"]`).classList.add("active");
            base64data = null;
            fileInput.value = "";
            fileLabel.textContent = T.chooseFile;
            processingIndicator.innerHTML = "";
            if (currentTab === "url") urlInput.focus();
          };
        });
        fileInput.onchange = async (e) => {
          const file = e.target.files[0];
          if (!file) return;
          fileLabel.textContent = file.name;
          processingIndicator.innerHTML = `<span>${T.processing}</span>`;
          insertBtn.disabled = true;
          try {
            base64data = await resizeAndEncodeImage(file);
            processingIndicator.innerHTML = "";
            const imgPreview = document.createElement("img");
            imgPreview.src = base64data;
            imgPreview.className = "sn-modal-image-preview";
            processingIndicator.appendChild(imgPreview);
          } catch (error) {
            console.error(error);
            processingIndicator.innerHTML = `<span>${T.errorImageProcessing}</span>`;
            base64data = null;
          } finally {
            insertBtn.disabled = false;
          }
        };
        insertBtn.onclick = () => {
          const altText = altInput.value.trim();
          if (currentTab === "url") {
            const url = urlInput.value.trim();
            if (isSafeInputUrl(url)) {
              onInsertCallback(url, altText, false);
              closeModal();
            } else {
              urlInput.focus();
              urlInput.select();
            }
          } else {
            if (base64data) {
              onInsertCallback(base64data, altText, true);
              closeModal();
            }
          }
        };
        modalOverlay.querySelector(".sn-modal-close").onclick = closeModal;
        content.onclick = (e) => e.stopPropagation();
        modalOverlay.onclick = closeModal;
        urlInput.focus();
      };
      const openTableEditorModal = (initialData, onInsertCallback) => {
        let tableData;
        if (initialData && initialData.rows.length > 0) {
          tableData = JSON.parse(JSON.stringify(initialData));
        } else {
          tableData = { rows: [["", ""], ["", ""]], alignments: ["left", "left"] };
        }
        const modalOverlay = document.createElement("div");
        modalOverlay.className = "sn-modal-overlay";
        const render = () => {
          const colCount = tableData.rows[0]?.length || 0;
          const rowCount = tableData.rows.length;
          let headerHtml = "";
          for (let c = 0; c < colCount; c++) {
            const align = tableData.alignments[c];
            let alignIcon;
            switch (align) {
              case "center":
                alignIcon = "\u21CC";
                break;
              case "right":
                alignIcon = "\u2192";
                break;
              default:
                alignIcon = "\u2190";
            }
            headerHtml += `<th data-col="${c}"><div class="col-header-content" draggable="true"><span class="drag-handle">\u2059</span><div class="col-header" title="${T.alignLeft}/${T.alignCenter}/${T.alignRight}" aria-label="${T.alignLeft}/${T.alignCenter}/${T.alignRight}"><span class="align-icon">${alignIcon}</span></div></div><div class="delete-btn delete-col-btn" title="${T.deleteCol}" aria-label="${T.deleteCol}">\u{1F5D1}\uFE0F</div></th>`;
          }
          let bodyHtml = "";
          for (let r = 0; r < rowCount; r++) {
            bodyHtml += `<tr data-row="${r}"><td class="control-cell"><span class="drag-handle" draggable="true">\u2059</span><div class="delete-btn delete-row-btn" title="${T.deleteRow}" aria-label="${T.deleteRow}">\u{1F5D1}\uFE0F</div></td>`;
            for (let c = 0; c < colCount; c++) {
              const cellValue = tableData.rows[r][c] || "";
              const placeholder = r === 0 ? "Header" : "Cell";
              bodyHtml += `<td><input class="cell-input" type="text" value="${escAttr(cellValue)}" placeholder="${escAttr(placeholder)}" data-row="${r}" data-col="${c}"></td>`;
            }
            bodyHtml += `<td class="control-cell"></td></tr>`;
          }
          const tableHtml = `<table class="sn-table-editor" role="table"><thead><tr><th class="control-cell"></th>${headerHtml}<th class="control-cell"><div class="add-btn add-col-btn" title="${T.addCol}" aria-label="${T.addCol}">+</div></th></tr></thead><tbody>${bodyHtml}<tr><td class="control-cell"></td><td colspan="${colCount}" class="control-cell"><div class="add-btn add-row-btn" title="${T.addRow}" aria-label="${T.addRow}">+</div></td><td class="control-cell"></td></tr></tbody></table>`;
          modalOverlay.innerHTML = `
          <div class="sn-modal-content sn-modal-content-table" role="dialog" aria-modal="true" aria-label="${T.tableEditor}">
            <div class="sn-modal-header">
              <h3>${T.tableEditor}</h3>
              <button class="sn-modal-close" title="${T.close}" aria-label="${T.close}">&times;</button>
            </div>
            <div class="sn-modal-body">
              <div class="sn-table-editor-container">
                <div class="sn-table-scroll-container">${tableHtml}</div>
              </div>
            </div>
            <div class="sn-modal-footer">
              <button class="sn-modal-insert-btn">${T.insert}</button>
            </div>
          </div>`;
          attachEventListeners();
        };
        const attachEventListeners = () => {
          const content = modalOverlay.querySelector(".sn-modal-content");
          const closeModal = () => {
            if (document.body.contains(modalOverlay)) document.body.removeChild(modalOverlay);
            cleanupKey();
          };
          const cleanupKey = attachModalA11yBasics(modalOverlay, closeModal);
          let draggedItem = null;
          modalOverlay.querySelector(".sn-modal-close").onclick = closeModal;
          content.onclick = (e) => e.stopPropagation();
          modalOverlay.onclick = closeModal;
          modalOverlay.querySelector(".sn-modal-insert-btn").onclick = () => {
            let markdown = "";
            const colCount = tableData.rows[0]?.length || 0;
            if (colCount > 0 && tableData.rows.some((row) => row.some((cell) => cell.trim() !== ""))) {
              markdown += "| " + tableData.rows[0].map((c) => c.trim() || " ").join(" | ") + " |\n";
              markdown += "|" + tableData.alignments.map((a) => {
                if (a === "center") return " :---: ";
                if (a === "right") return " ---: ";
                return " :--- ";
              }).join("|") + "|\n";
              for (let i = 1; i < tableData.rows.length; i++) {
                markdown += "| " + tableData.rows[i].map((c) => c.trim() || " ").join(" | ") + " |\n";
              }
            }
            onInsertCallback(markdown);
            closeModal();
          };
          modalOverlay.querySelector(".add-row-btn").onclick = () => {
            if (tableData.rows.length === 0) {
              tableData.rows.push([""]);
              tableData.alignments = ["left"];
            } else {
              tableData.rows.push(new Array(tableData.rows[0]?.length || 1).fill(""));
            }
            render();
          };
          modalOverlay.querySelector(".add-col-btn").onclick = () => {
            if (tableData.rows.length === 0) {
              tableData.rows.push([""]);
              tableData.alignments = ["left"];
            } else {
              tableData.rows.forEach((row) => row.push(""));
              tableData.alignments.push("left");
            }
            render();
          };
          modalOverlay.querySelectorAll(".delete-row-btn").forEach((btn) => {
            btn.onclick = (e) => {
              const row = parseInt(e.target.closest("tr").dataset.row, 10);
              if (tableData.rows.length > 1) {
                tableData.rows.splice(row, 1);
                render();
              }
            };
          });
          modalOverlay.querySelectorAll(".delete-col-btn").forEach((btn) => {
            btn.onclick = (e) => {
              const col = parseInt(e.target.closest("th").dataset.col, 10);
              if (tableData.rows[0].length > 1) {
                tableData.rows.forEach((row) => row.splice(col, 1));
                tableData.alignments.splice(col, 1);
                render();
              }
            };
          });
          modalOverlay.querySelectorAll(".col-header").forEach((header) => {
            header.onclick = (e) => {
              const col = parseInt(e.currentTarget.closest("th").dataset.col, 10);
              const aligns = ["left", "center", "right"];
              tableData.alignments[col] = aligns[(aligns.indexOf(tableData.alignments[col]) + 1) % aligns.length];
              render();
            };
          });
          modalOverlay.querySelectorAll(".cell-input").forEach((input) => {
            input.oninput = (e) => {
              const { row, col } = e.target.dataset;
              tableData.rows[row][col] = e.target.value;
            };
            input.onkeydown = (e) => {
              const { row, col } = e.target.dataset;
              const r = parseInt(row, 10);
              const c = parseInt(col, 10);
              let nextCell = null;
              if (e.key === "Enter" || e.key === "ArrowDown") {
                e.preventDefault();
                nextCell = modalOverlay.querySelector(`.cell-input[data-row="${r + 1}"][data-col="${c}"]`);
              } else if (e.key === "ArrowUp") {
                e.preventDefault();
                nextCell = modalOverlay.querySelector(`.cell-input[data-row="${r - 1}"][data-col="${c}"]`);
              } else if (e.key === "Tab") {
                e.preventDefault();
                if (e.shiftKey) {
                  nextCell = modalOverlay.querySelector(`.cell-input[data-row="${r}"][data-col="${c - 1}"]`) || modalOverlay.querySelector(`.cell-input[data-row="${r - 1}"][data-col="${(tableData.rows[0]?.length || 1) - 1}"]`);
                } else {
                  nextCell = modalOverlay.querySelector(`.cell-input[data-row="${r}"][data-col="${c + 1}"]`) || modalOverlay.querySelector(`.cell-input[data-row="${r + 1}"][data-col="0"]`);
                }
              }
              if (nextCell) {
                nextCell.focus();
              }
            };
          });
          modalOverlay.querySelectorAll('tbody tr .drag-handle[draggable="true"]').forEach((handle) => {
            const row = handle.closest("tr");
            handle.addEventListener("dragstart", (e) => {
              e.stopPropagation();
              draggedItem = row;
              const rowIndex = parseInt(draggedItem.dataset.row, 10);
              e.dataTransfer.setData("text/plain", rowIndex);
              e.dataTransfer.effectAllowed = "move";
              setTimeout(() => draggedItem.classList.add("dragging"), 0);
            });
            handle.addEventListener("dragend", () => {
              draggedItem?.classList.remove("dragging");
              modalOverlay.querySelectorAll(".drag-over-row").forEach((el) => el.classList.remove("drag-over-row"));
              draggedItem = null;
            });
          });
          modalOverlay.querySelectorAll("tbody tr").forEach((row) => {
            row.addEventListener("dragover", (e) => {
              e.preventDefault();
              const targetRow = e.currentTarget;
              if (targetRow && targetRow !== draggedItem) {
                modalOverlay.querySelectorAll(".drag-over-row").forEach((el) => el.classList.remove("drag-over-row"));
                targetRow.classList.add("drag-over-row");
              }
            });
            row.addEventListener("dragleave", (e) => {
              e.currentTarget.classList.remove("drag-over-row");
            });
            row.addEventListener("drop", (e) => {
              e.preventDefault();
              const targetRow = e.currentTarget;
              targetRow.classList.remove("drag-over-row");
              if (!targetRow || targetRow === draggedItem) return;
              const sourceIndex = parseInt(e.dataTransfer.getData("text/plain"), 10);
              const targetIndex = parseInt(targetRow.dataset.row, 10);
              const [removedRowData] = tableData.rows.splice(sourceIndex, 1);
              tableData.rows.splice(targetIndex, 0, removedRowData);
              render();
            });
          });
          modalOverlay.querySelectorAll("thead th[data-col]").forEach((headerCell) => {
            const handle = headerCell.querySelector('.col-header-content[draggable="true"]');
            handle.addEventListener("dragstart", (e) => {
              e.stopPropagation();
              draggedItem = headerCell;
              const colIndex = parseInt(draggedItem.dataset.col, 10);
              e.dataTransfer.setData("text/plain", colIndex);
              e.dataTransfer.effectAllowed = "move";
              setTimeout(() => draggedItem.classList.add("dragging"), 0);
            });
            handle.addEventListener("dragend", (e) => {
              e.stopPropagation();
              draggedItem?.classList.remove("dragging");
              modalOverlay.querySelectorAll(".drag-over-col").forEach((el) => el.classList.remove("drag-over-col"));
              draggedItem = null;
            });
            headerCell.addEventListener("dragover", (e) => {
              e.preventDefault();
              const targetCol = e.target.closest("th[data-col]");
              if (targetCol && targetCol !== draggedItem) {
                modalOverlay.querySelectorAll(".drag-over-col").forEach((el) => el.classList.remove("drag-over-col"));
                targetCol.classList.add("drag-over-col");
              }
            });
            headerCell.addEventListener("dragleave", (e) => {
              e.target.closest("th[data-col]")?.classList.remove("drag-over-col");
            });
            headerCell.addEventListener("drop", (e) => {
              e.preventDefault();
              e.stopPropagation();
              const targetCol = e.target.closest("th[data-col]");
              if (!targetCol || targetCol === draggedItem) return;
              const sourceIndex = parseInt(e.dataTransfer.getData("text/plain"), 10);
              const targetIndex = parseInt(targetCol.dataset.col, 10);
              const [removedAlign] = tableData.alignments.splice(sourceIndex, 1);
              tableData.alignments.splice(targetIndex, 0, removedAlign);
              tableData.rows.forEach((row) => {
                const [removedCell] = row.splice(sourceIndex, 1);
                row.splice(targetIndex, 0, removedCell);
              });
              render();
            });
          });
        };
        document.body.appendChild(modalOverlay);
        render();
        modalOverlay.querySelector(".cell-input")?.focus();
      };
      const modeBar = document.createElement("div");
      modeBar.className = "mode-toggle-bar";
      const editorButton = document.createElement("button");
      editorButton.className = "mode-toggle-button";
      editorButton.textContent = T.editor;
      const splitButton = document.createElement("button");
      splitButton.className = "mode-toggle-button";
      splitButton.textContent = T.split;
      const previewButton = document.createElement("button");
      previewButton.className = "mode-toggle-button";
      previewButton.textContent = T.preview;
      const lockdownIndicator = document.createElement("span");
      lockdownIndicator.className = "lockdown-indicator";
      lockdownIndicator.textContent = T.lockdownMsg;
      lockdownIndicator.style.display = "none";
      const toolbarToggleButton = document.createElement("button");
      toolbarToggleButton.className = "mode-toggle-button toolbar-toggle-button";
      toolbarToggleButton.title = T.toggleToolbar;
      toolbarToggleButton.setAttribute("aria-label", T.toggleToolbar);
      toolbarToggleButton.appendChild(createIcon("M3 18h18v-2H3v2z m0-5h18v-2H3v2z m0-7v2h18V6H3z"));
      const printButton = document.createElement("button");
      printButton.className = "mode-toggle-button pdf-export-button";
      printButton.textContent = T.printPDF;
      printButton.title = T.exportPDF;
      const toolbar = document.createElement("div");
      toolbar.className = "markdown-toolbar";
      const previewContainer = document.createElement("div");
      previewContainer.className = PREVIEW_CONTAINER_CLASS;
      markdownTextarea.addEventListener("paste", handlePaste);
      function getLineRangeForSelection(text2, selStart, selEnd) {
        const lineStart = text2.lastIndexOf("\n", selStart - 1) + 1;
        let lineEnd = text2.indexOf("\n", selEnd);
        if (lineEnd === -1) lineEnd = text2.length;
        return { lineStart, lineEnd };
      }
      function applyLinePrefix(textarea, prefix) {
        const val = textarea.value;
        const selStart = textarea.selectionStart;
        const selEnd = textarea.selectionEnd;
        const { lineStart, lineEnd } = getLineRangeForSelection(val, selStart, selEnd);
        const lines = val.slice(lineStart, lineEnd).split("\n");
        const nonEmptyLines = lines.filter((l3) => l3.trim() !== "");
        const allHavePrefix = nonEmptyLines.length > 0 && nonEmptyLines.every((l3) => l3.startsWith(prefix));
        if (allHavePrefix) {
          const next = lines.map((line) => line.startsWith(prefix) ? line.slice(prefix.length) : line);
          textarea.setRangeText(next.join("\n"), lineStart, lineEnd, "select");
        } else {
          const next = lines.map((line) => {
            if (line.trim() === "" && lines.length > 1) {
              return line;
            }
            return prefix + line;
          });
          textarea.setRangeText(next.join("\n"), lineStart, lineEnd, "select");
        }
        textarea.focus();
        debouncedInputHandler();
      }
      const toolbarButtons = [
        { type: "select", name: "heading", options: [{ value: "p", text: T.paragraph }, { value: "h1", text: T.heading1 }, { value: "h2", text: T.heading2 }, { value: "h3", text: T.heading3 }, { value: "h4", text: T.heading4 }], action: (prefix) => {
          const val = markdownTextarea.value;
          const selStart = markdownTextarea.selectionStart;
          const selEnd = markdownTextarea.selectionEnd;
          const lineStart = val.lastIndexOf("\n", selStart - 1) + 1;
          let lineEnd = val.indexOf("\n", selEnd);
          if (lineEnd === -1) lineEnd = val.length;
          const block = val.slice(lineStart, lineEnd);
          const lines = block.split("\n");
          const cleaned = lines.map((line) => {
            if (lines.length > 1 && !line.trim()) {
              return line;
            }
            const withoutHeading = line.replace(/^\s*#{1,6}\s+/, "");
            return prefix ? `${prefix} ${withoutHeading}` : withoutHeading;
          });
          const newBlock = cleaned.join("\n");
          markdownTextarea.setRangeText(newBlock, lineStart, lineEnd, "select");
          debouncedInputHandler();
          markdownTextarea.focus();
          updateHeadingSelector();
        } },
        { type: "button", name: "B", title: T.bold, action: () => applyMarkdown(markdownTextarea, "**", "**", T.boldPlaceholder) },
        { type: "button", name: "I", title: T.italic, action: () => applyMarkdown(markdownTextarea, "*", "*", T.italicPlaceholder) },
        { type: "button", name: "S", title: T.strikethrough, action: () => applyMarkdown(markdownTextarea, "~~", "~~", T.strikethroughPlaceholder) },
        // 9) インラインコード/コードブロック自動切替
        { type: "button", name: "`", title: T.inlineCode, action: () => {
          const { selectionStart: s, selectionEnd: e, value: v2 } = markdownTextarea;
          const sel = v2.slice(s, e);
          if (sel.includes("\n")) {
            applyMarkdown(markdownTextarea, "```\n", "\n```", T.codePlaceholder);
          } else {
            applyMarkdown(markdownTextarea, "`", "`", T.codePlaceholder);
          }
        } },
        // 3) 行頭系は専用ロジックに
        { type: "button", name: "\u201C \u201D", title: T.quote, action: () => applyLinePrefix(markdownTextarea, "> ") },
        { type: "button", name: "\u2022", title: T.list, action: () => applyLinePrefix(markdownTextarea, "- ") },
        { type: "button", name: "1.", title: T.numberedList, action: () => applyLinePrefix(markdownTextarea, "1. ") },
        { type: "button", name: "\u2611", title: T.checklist, action: () => applyLinePrefix(markdownTextarea, "- [ ] ") },
        { type: "button", name: "</>", title: T.codeBlock, action: () => applyMarkdown(markdownTextarea, "```\n", "\n```", T.codePlaceholder) },
        { type: "icon", title: T.image, path: "M21 19V5 c 0 -1.1 -0.9 -2 -2 -2 H5 c -1.1 0 -2 0.9 -2 2 v14 c 0 1.1 0.9 2 2 2 h14 c 1.1 0 2 -0.9 2 -2 z M8.5 13.5 l 2.5 3.01 L14.5 12 l 4.5 6 H5 l 3.5 -4.5 z", action: () => {
          openImageInserterModal((data, altText, isReference) => {
            if (isReference) {
              insertImageAsReference(data, altText);
            } else {
              const dest = formatLinkDestination(data);
              const markdown = `![${escAlt(altText)}](${dest})`;
              applyMarkdown(markdownTextarea, markdown);
            }
          });
        } },
        { type: "icon", title: T.link, path: "M3.9 12 c 0 -1.71 1.39 -3.1 3.1 -3.1 h4 V7 H7 c -2.76 0 -5 2.24 -5 5 s2.24 5 5 5 h4 v-1.9 H7 c -1.71 0 -3.1 -1.39 -3.1 -3.1 z M8 13 h8 v-2 H8 v2 z m9 -6 h-4 v1.9 h4 c 1.71 0 3.1 1.39 3.1 3.1 s -1.39 3.1 -3.1 3.1 h-4 V17 h4 c 2.76 0 -5 -2.24 -5 -5 s -2.24 -5 -5 -5 z", action: () => {
          const url = prompt(T.linkPrompt, "https://");
          if (isSafeInputUrl(url)) {
            insertLinkAtSelection(markdownTextarea, url.trim(), T.linkTextPlaceholder);
          }
        } },
        { type: "icon", title: T.insertTable, path: "M20 4 H4 c -1.1 0 -2 0.9 -2 2 v12 c 0 1.1 0.9 2 2 2 h16 c 1.1 0 2 -0.9 2 -2 V6 c 0 -1.1 -0.9 -2 -2 -2 z M8 10 H4 V6 h4 v4 z m6 0 h-4 V6 h4 v4 z m6 0 h-4 V6 h4 v4 z M8 14 H4 v4h4 v-4 z m6 0 h-4 v4 h4 v-4 z m6 0 h-4 v4 h4 v-4 z", action: () => {
          const start = markdownTextarea.selectionStart;
          const end = markdownTextarea.selectionEnd;
          const selectedText = markdownTextarea.value.substring(start, end);
          const existingTableData = parseMarkdownTable(selectedText);
          openTableEditorModal(existingTableData, (markdown) => {
            markdownTextarea.setRangeText(markdown, start, end, "select");
            markdownTextarea.focus();
            debouncedInputHandler();
          });
        } },
        { type: "button", name: "\u2015", title: T.horizontalRule, action: () => applyMarkdown(markdownTextarea, "\n---\n") }
      ];
      toolbarButtons.forEach((item) => {
        if (item.type === "select") {
          const select = document.createElement("select");
          select.className = "toolbar-select heading-select";
          item.options.forEach((opt) => {
            const option = document.createElement("option");
            option.value = opt.value;
            option.textContent = opt.text;
            select.appendChild(option);
          });
          select.onchange = (e) => {
            let prefix = "";
            switch (e.target.value) {
              case "h1":
                prefix = "#";
                break;
              case "h2":
                prefix = "##";
                break;
              case "h3":
                prefix = "###";
                break;
              case "h4":
                prefix = "####";
                break;
            }
            item.action(prefix);
            updateHeadingSelector();
          };
          toolbar.appendChild(select);
        } else {
          const button = document.createElement("button");
          button.className = "toolbar-button";
          button.title = item.title;
          button.onclick = item.action;
          if (item.type === "icon") {
            button.classList.add("icon-button");
            button.appendChild(createIcon(item.path));
          } else {
            button.textContent = item.name;
          }
          toolbar.appendChild(button);
        }
      });
      const headingSelect = toolbar.querySelector(".heading-select");
      const updateHeadingSelector = () => {
        if (!headingSelect) return;
        const pos = markdownTextarea.selectionStart;
        const text2 = markdownTextarea.value;
        const lineStart = text2.lastIndexOf("\n", pos - 1) + 1;
        let lineEnd = text2.indexOf("\n", lineStart);
        if (lineEnd === -1) {
          lineEnd = text2.length;
        }
        const line = text2.substring(lineStart, lineEnd);
        let headingLevel = "p";
        if (/^\s*####\s/.test(line)) {
          headingLevel = "h4";
        } else if (/^\s*###\s/.test(line)) {
          headingLevel = "h3";
        } else if (/^\s*##\s/.test(line)) {
          headingLevel = "h2";
        } else if (/^\s*#\s/.test(line)) {
          headingLevel = "h1";
        }
        if (headingSelect.value !== headingLevel) {
          headingSelect.value = headingLevel;
        }
      };
      const debouncedUpdateHeadingSelector = debounce(updateHeadingSelector, 150);
      markdownTextarea.addEventListener("keyup", debouncedUpdateHeadingSelector);
      markdownTextarea.addEventListener("click", debouncedUpdateHeadingSelector);
      markdownTextarea.addEventListener("focus", debouncedUpdateHeadingSelector);
      const contentWrapper = document.createElement("div");
      contentWrapper.className = "editor-preview-wrapper";
      const splitter = document.createElement("div");
      splitter.className = "sn-markdown-splitter";
      splitter.setAttribute("aria-label", "Resize editor panes");
      splitter.setAttribute("role", "separator");
      contentWrapper.append(markdownTextarea, splitter, previewContainer);
      modeBar.append(editorButton, splitButton, previewButton, lockdownIndicator, toolbarToggleButton, printButton);
      container.append(modeBar, toolbar, contentWrapper);
      editorWrapper.after(container);
      const splitterInstance = setupSplitter(contentWrapper, splitter, markdownTextarea);
      function splitMarkdownIntoChunks(fullMd) {
        const lines = fullMd.split("\n");
        const chunks = [];
        let buf = [];
        let size = 0;
        let inFence = false;
        let fenceMarker = null;
        let inTable = false;
        let prevLine = "";
        for (const rawLine of lines) {
          const line = rawLine;
          const fence = line.match(/^(\s*)(`{3,}|~{3,})/);
          if (fence) {
            const mark = fence[2][0] === "`" ? "```" : "~~~";
            if (!inFence) {
              inFence = true;
              fenceMarker = mark;
            } else if (mark === fenceMarker && line.trim().startsWith(mark)) {
              inFence = false;
              fenceMarker = null;
            }
          }
          const isHeaderLike = /^\s*\|.*\|\s*$/.test(prevLine);
          const isSeparatorRow = /^\s*\|?\s*:?-{3,}(?:\s*\|+\s*:?-{3,})+\s*\|?\s*$/.test(line);
          if (!inFence) {
            if (!inTable && isHeaderLike && isSeparatorRow) inTable = true;
            if (inTable && !/^\s*\|/.test(line) && !isSeparatorRow) inTable = false;
          }
          buf.push(line);
          size += line.length + 1;
          const isBoundary = !inFence && !inTable && (!line.trim() || /^#{1,6}\s/.test(line));
          if (size >= MD_CHUNK_TARGET && isBoundary) {
            chunks.push(buf.join("\n"));
            buf = [];
            size = 0;
          }
          prevLine = line;
        }
        if (buf.length) chunks.push(buf.join("\n"));
        return chunks;
      }
      let previewRenderToken = 0;
      let observeChunkCodes = null;
      function shouldVirtualizeCode(codeEl) {
        const txt = codeEl.textContent || "";
        const lines = (txt.match(/\n/g)?.length || 0) + 1;
        return txt.length > CODE_VIRT_TRIGGER_CHARS || lines > CODE_VIRT_TRIGGER_LINES;
      }
      function virtualizeLargeCodeBlock(codeEl) {
        if (codeEl.dataset.virtualized === "1") return;
        const pre = codeEl.closest("pre");
        if (pre && !pre.dataset.rawTextSaved) {
          pre.dataset.rawTextSaved = codeEl.textContent || "";
        }
        const text2 = codeEl.textContent || "";
        const lines = text2.split("\n");
        const frag = document.createDocumentFragment();
        let buf = [];
        let charCount = 0;
        let lineCount = 0;
        const flush = () => {
          if (!buf.length) return;
          const span = document.createElement("span");
          span.className = "code-chunk";
          span.textContent = buf.join("\n");
          span.dataset.state = "pending";
          frag.appendChild(span);
          buf = [];
          charCount = 0;
          lineCount = 0;
        };
        for (let i = 0; i < lines.length; i++) {
          const line = lines[i];
          buf.push(line);
          charCount += line.length + 1;
          lineCount += 1;
          if (charCount >= CODE_CHUNK_MAX_CHARS || lineCount >= CODE_CHUNK_MAX_LINES) {
            flush();
          }
        }
        flush();
        codeEl.textContent = "";
        codeEl.appendChild(frag);
        codeEl.dataset.virtualized = "1";
      }
      function postProcessPreview(containerEl) {
        let globalTaskIndex = 0;
        if (!containerEl.dataset.copyDelegationAttached) {
          containerEl.addEventListener("click", (e) => {
            const btn = e.target.closest(".copy-code-button");
            if (!btn) return;
            const pre = btn.closest("pre");
            const raw = pre?.dataset?.rawTextSaved;
            const codeEl = pre && pre.querySelector("code");
            const toCopy = raw || codeEl?.textContent || "";
            navigator.clipboard.writeText(toCopy).then(() => {
              btn.textContent = T.copied;
              btn.classList.add("copied");
              setTimeout(() => {
                btn.textContent = T.copy;
                btn.classList.remove("copied");
              }, 2e3);
            }).catch(() => {
              btn.textContent = T.copyError;
              setTimeout(() => {
                btn.textContent = T.copy;
              }, 2e3);
            });
          }, { passive: true });
          containerEl.dataset.copyDelegationAttached = "1";
        }
        const highlightQueue = [];
        let highlighting = false;
        const ensureDecorations = (preEl) => {
          let label = preEl.querySelector(".code-language-label");
          if (!label) {
            label = document.createElement("div");
            label.className = "code-language-label";
            preEl.appendChild(label);
          }
          label.textContent = preEl.dataset.explicitLang || "code";
          if (!preEl.querySelector(".copy-code-button")) {
            const btn = document.createElement("button");
            btn.className = "copy-code-button";
            btn.textContent = T.copy;
            btn.setAttribute("aria-label", T.copyAriaLabel);
            preEl.appendChild(btn);
          }
        };
        const pumpHighlight = () => {
          if (highlighting) return;
          const next = highlightQueue.shift();
          if (!next) return;
          highlighting = true;
          runIdle(() => {
            try {
              if (HLJS && !next.dataset.hljsDone) {
                if (next.classList.contains("code-chunk")) {
                  const pre = next.closest("pre");
                  const code = next.closest("code");
                  if (code && !code.classList.contains("hljs")) code.classList.add("hljs");
                  let lang2 = "";
                  const cls = Array.from(code?.classList || []).find((c) => c.startsWith("language-"));
                  if (cls) lang2 = cls.replace("language-", "");
                  const raw = next.textContent || "";
                  let html2 = "";
                  try {
                    html2 = lang2 ? HLJS.highlight(raw, { language: lang2, ignoreIllegals: true }).value : HLJS.highlightAuto(raw).value;
                  } catch (_2) {
                    html2 = raw.replace(/&/g, "&amp;").replace(/</g, "&lt;");
                  }
                  next.innerHTML = html2;
                  next.dataset.hljsDone = "1";
                  next.dataset.state = "ready";
                  try {
                    io.unobserve(next);
                  } catch (_2) {
                  }
                  if (pre) ensureDecorations(pre);
                } else {
                  try {
                    HLJS.highlightElement(next);
                  } catch (_2) {
                  }
                  next.dataset.hljsDone = "1";
                  const pre = next.closest("pre");
                  if (pre) ensureDecorations(pre);
                }
              }
            } finally {
              highlighting = false;
              pumpHighlight();
            }
          });
        };
        const io = new IntersectionObserver((entries2) => {
          for (const ent of entries2) {
            if (ent.isIntersecting) {
              const codeEl = ent.target.classList.contains("code-chunk") ? ent.target.closest("code") : ent.target;
              const langMatch = codeEl && Array.from(codeEl.classList).find((cls) => cls.startsWith("language-"));
              if (langMatch) {
                const pre = codeEl.closest("pre");
                if (pre) pre.dataset.explicitLang = langMatch.replace("language-", "");
              }
              highlightQueue.push(ent.target);
              pumpHighlight();
            }
          }
        }, { root: previewContainer, rootMargin: devMemGB <= 4 ? "80px 0px" : "200px 0px", threshold: 0 });
        const processWithin = (root) => {
          root.querySelectorAll("pre").forEach((pre) => ensureDecorations(pre));
          root.querySelectorAll("pre code").forEach((code) => {
            const pre = code.closest("pre");
            if (pre && !pre.dataset.rawTextSaved) {
              pre.dataset.rawTextSaved = code.textContent || "";
            }
            if (shouldVirtualizeCode(code)) virtualizeLargeCodeBlock(code);
          });
          root.querySelectorAll("pre code").forEach((code) => {
            if (code.dataset.virtualized === "1") {
              code.querySelectorAll('.code-chunk[data-state="pending"]').forEach((ch) => io.observe(ch));
            } else {
              io.observe(code);
            }
          });
          root.querySelectorAll('input[type="checkbox"]').forEach((cb) => {
            const li = cb.closest("li");
            if (li) {
              li.classList.add("task-list-item");
              if (cb.checked) li.classList.add("completed");
              const idx = globalTaskIndex++;
              cb.removeAttribute("disabled");
              cb.tabIndex = 0;
              cb.setAttribute("data-task-index", String(idx));
              cb.addEventListener("click", (e) => {
                e.preventDefault();
                handlePreviewChecklistToggle(idx);
              });
              cb.addEventListener("keydown", (e) => {
                if (e.key === " " || e.key === "Enter") {
                  e.preventDefault();
                  handlePreviewChecklistToggle(idx);
                }
              });
            }
          });
          root.querySelectorAll("a[href]").forEach((a) => {
            a.setAttribute("target", "_blank");
            a.setAttribute("rel", "noopener noreferrer");
          });
        };
        processWithin(containerEl);
        const processWithinFn = (root) => processWithin(root);
        processWithinFn.cleanup = () => io.disconnect();
        return processWithinFn;
      }
      function sanitizeHtml(html2) {
        return purify.sanitize(html2, {
          USE_PROFILES: { html: true },
          ALLOWED_ATTR: [
            "href",
            "src",
            "alt",
            "title",
            "class",
            "type",
            "disabled",
            "checked",
            "data-task-index",
            "data-processed",
            "data-explicit-lang",
            "rel",
            "target",
            // 将来のA11y拡張用
            "aria-label",
            "aria-labelledby",
            "aria-describedby",
            "role"
          ],
          ADD_TAGS: ["span", "input"],
          FORBID_TAGS: ["style", "iframe", "form"],
          FORBID_ATTR: ["xlink:href", "srcset"]
        });
      }
      function updatePreview() {
        try {
          if (isLockdown) return;
          if (observeChunkCodes && observeChunkCodes.cleanup) {
            observeChunkCodes.cleanup();
          }
          const mainContent = markdownTextarea.value;
          const unwrappedDefs = definitionsText.replace(DEFINITIONS_HEADER, "").replace(DEFINITIONS_FOOTER, "").trim();
          const fullMd = `${mainContent}

${unwrappedDefs ? unwrappedDefs : ""}`;
          observeChunkCodes = null;
          const token = ++previewRenderToken;
          const smallNote = mainContent.length < HEAVY_NOTE_THRESHOLD;
          if (smallNote) {
            const dirtyHtml = d.parse(fullMd);
            const sanitizedHtml = sanitizeHtml(dirtyHtml);
            previewContainer.innerHTML = sanitizedHtml;
            observeChunkCodes = postProcessPreview(previewContainer);
            return;
          }
          previewContainer.innerHTML = "";
          const chunks = splitMarkdownIntoChunks(fullMd);
          const initial2 = Math.min(chunks.length, MAX_INITIAL_CHUNKS);
          const frag = document.createDocumentFragment();
          for (let i = 0; i < initial2; i++) {
            if (token !== previewRenderToken) return;
            const html2 = sanitizeHtml(d.parse(chunks[i]));
            const section = document.createElement("section");
            section.className = "preview-chunk";
            section.innerHTML = html2;
            frag.appendChild(section);
          }
          previewContainer.appendChild(frag);
          observeChunkCodes = postProcessPreview(previewContainer);
          if (observeChunkCodes) {
            Array.from(previewContainer.children).forEach((ch) => observeChunkCodes(ch));
          }
          let idx = initial2;
          const pump = () => {
            if (token !== previewRenderToken) return;
            if (idx >= chunks.length) return;
            runIdle(() => {
              if (token !== previewRenderToken) return;
              const html2 = sanitizeHtml(d.parse(chunks[idx]));
              const section = document.createElement("section");
              section.className = "preview-chunk";
              section.innerHTML = html2;
              previewContainer.appendChild(section);
              if (observeChunkCodes) observeChunkCodes(section);
              idx++;
              if (idx < chunks.length) pump();
            });
          };
          pump();
        } catch (e) {
          console.error("Error updating preview:", e);
          previewContainer.innerHTML = `<div class="preview-error"><strong>${T.previewErrorTitle}</strong><br><pre>${e.stack || e}</pre></div>`;
        }
      }
      const debouncedUpdatePreview = debounce(() => updatePreview(), 250);
      const handlePreviewChecklistToggle = (toggledIndex) => {
        const text2 = markdownTextarea.value;
        const regex = /^\s*(?:-|\*|\d+[.)])\s+\[(?: |x|X)\]/gm;
        let currentIndex = 0;
        const newText = text2.replace(regex, (original) => {
          if (currentIndex === toggledIndex) {
            currentIndex++;
            return original.includes("[ ]") ? original.replace("[ ]", "[x]") : original.replace("[x]", "[ ]");
          }
          currentIndex++;
          return original;
        });
        if (markdownTextarea.value !== newText) {
          const cursorPos = markdownTextarea.selectionStart;
          markdownTextarea.value = newText;
          markdownTextarea.selectionStart = markdownTextarea.selectionEnd = cursorPos;
          debouncedInputHandler();
        }
      };
      let mouseDownTime = 0;
      let mouseDownPos = { x: 0, y: 0 };
      markdownTextarea.addEventListener("mousedown", (e) => {
        mouseDownTime = Date.now();
        mouseDownPos = { x: e.clientX, y: e.clientY };
      });
      const handleEditorClick = (e) => {
        const textarea = e.target;
        const mouseUpTime = Date.now();
        const distance = Math.sqrt(Math.pow(e.clientX - mouseDownPos.x, 2) + Math.pow(e.clientY - mouseDownPos.y, 2));
        if (mouseUpTime - mouseDownTime > 250 || distance > 5 || textarea.selectionStart !== textarea.selectionEnd) {
          return;
        }
        const pos = textarea.selectionStart;
        const text2 = textarea.value;
        const lineStart = text2.lastIndexOf("\n", pos - 1) + 1;
        const lineEnd = text2.indexOf("\n", pos) === -1 ? text2.length : text2.indexOf("\n", pos);
        const line = text2.substring(lineStart, lineEnd);
        const checklistRegex = /^(\s*)(?:-|\*|\d+[.)])\s\[(?: |x|X)\]/;
        const match = line.match(checklistRegex);
        if (match && pos - lineStart <= match[0].length) {
          e.preventDefault();
          const replacement = line.includes("[ ]") ? "[x]" : "[ ]";
          const newLine = line.replace(/\[( |x)\]/, replacement);
          markdownTextarea.value = text2.substring(0, lineStart) + newLine + text2.substring(lineEnd);
          textarea.selectionStart = textarea.selectionEnd = pos;
          debouncedInputHandler();
        }
      };
      markdownTextarea.addEventListener("click", handleEditorClick);
      function isInsideCodeFence(text2, pos) {
        let inFence = false;
        let fenceMark = "";
        let i = 0;
        while (i < pos) {
          const lineStart = i;
          let lineEnd = text2.indexOf("\n", lineStart);
          if (lineEnd === -1) lineEnd = text2.length;
          const line = text2.slice(lineStart, lineEnd).trim();
          const m2 = line.match(/^(`{3,}|~{3,})/);
          if (m2) {
            const mark = m2[1][0] === "`" ? "```" : "~~~";
            if (!inFence) {
              inFence = true;
              fenceMark = mark;
            } else if (line.startsWith(fenceMark)) {
              inFence = false;
              fenceMark = "";
            }
          }
          i = lineEnd + 1;
        }
        return inFence;
      }
      const handleEnterKey = (e) => {
        const textarea = e.target;
        const text2 = textarea.value;
        const pos = textarea.selectionStart;
        if (textarea.selectionStart !== textarea.selectionEnd) return;
        if (isInsideCodeFence(text2, pos)) return;
        const lineStart = text2.lastIndexOf("\n", pos - 1) + 1;
        let lineEnd = text2.indexOf("\n", pos);
        if (lineEnd === -1) lineEnd = text2.length;
        const lineFull = text2.slice(lineStart, lineEnd);
        const m2 = lineFull.match(/^(\s*(?:>\s*)*)([*+-]|\d+[.)])\s(?:(\[(?: |x|X)\])\s)?(.*)$/);
        if (!m2) return;
        const quotePrefix = m2[1] || "";
        const markerRaw = m2[2];
        const hasCheckbox = !!m2[3];
        const contentRest = m2[4] || "";
        const prefixLen = quotePrefix.length + markerRaw.length + 1 + // marker + space
        (hasCheckbox ? m2[3].length + 1 : 0);
        const afterMarkerAbs = lineStart + prefixLen;
        const beforeCaretTail = text2.slice(afterMarkerAbs, pos);
        const afterCaretTail = text2.slice(pos, lineEnd);
        if (beforeCaretTail.trim().length === 0 && afterCaretTail.trim().length === 0) {
          e.preventDefault();
          textarea.setRangeText("\n" + quotePrefix, lineStart, lineEnd, "end");
          debouncedInputHandler();
          return;
        }
        e.preventDefault();
        let nextMarker = markerRaw;
        const ordered = /^\d+[.)]$/.test(markerRaw);
        if (ordered) {
          const num = parseInt(markerRaw, 10);
          const punct = markerRaw.endsWith(")") ? ")" : ".";
          nextMarker = `${num + 1}${punct}`;
        }
        const checkboxNext = hasCheckbox ? "[ ] " : "";
        const insertText = `
${quotePrefix}${nextMarker} ${checkboxNext}`;
        textarea.setRangeText(insertText, pos, pos, "end");
        debouncedInputHandler();
      };
      function outdentOneLevel(line) {
        if (line.startsWith(INDENT_SPACES)) {
          return { line: line.slice(INDENT_SPACES.length), removed: INDENT_SPACES.length };
        }
        if (line.startsWith("	")) {
          return { line: line.slice(1), removed: 1 };
        }
        const m2 = line.match(/^ +/);
        if (m2) {
          const n = Math.min(INDENT_SPACES.length, m2[0].length);
          return { line: line.slice(n), removed: n };
        }
        return { line, removed: 0 };
      }
      function handleTabKey(e) {
        if (e.ctrlKey || e.metaKey) return;
        if (e.key !== "Tab") return;
        e.preventDefault();
        const val = markdownTextarea.value;
        const selStart = markdownTextarea.selectionStart;
        const selEnd = markdownTextarea.selectionEnd;
        const { lineStart, lineEnd } = getLineRangeForSelection(val, selStart, selEnd);
        const block = val.slice(lineStart, lineEnd);
        const lines = block.split("\n");
        const isCaretOnly = selStart === selEnd && !block.includes("\n");
        if (isCaretOnly) {
          if (!e.shiftKey) {
            markdownTextarea.setRangeText(INDENT_SPACES, selStart, selEnd, "end");
            debouncedInputHandler();
            return;
          } else {
            const { lineStart: ls, lineEnd: le2 } = getLineRangeForSelection(val, selStart, selEnd);
            const line = val.slice(ls, le2);
            const { line: out, removed } = outdentOneLevel(line);
            if (removed > 0) {
              markdownTextarea.setRangeText(out, ls, le2, "end");
              const newPos = Math.max(ls, selStart - removed);
              markdownTextarea.selectionStart = markdownTextarea.selectionEnd = newPos;
              debouncedInputHandler();
            }
            return;
          }
        }
        if (!e.shiftKey) {
          const indented = lines.map((l3) => INDENT_SPACES + l3);
          const newBlock = indented.join("\n");
          markdownTextarea.setRangeText(newBlock, lineStart, lineEnd, "end");
          const deltaFirst = INDENT_SPACES.length;
          const deltaAll = INDENT_SPACES.length * lines.length;
          markdownTextarea.selectionStart = selStart + deltaFirst;
          markdownTextarea.selectionEnd = selEnd + deltaAll;
          debouncedInputHandler();
        } else {
          let removedTotal = 0;
          let removedFirst = 0;
          const outdented = lines.map((l3, i) => {
            const { line: out, removed } = outdentOneLevel(l3);
            if (i === 0) removedFirst = removed;
            removedTotal += removed;
            return out;
          });
          const newBlock = outdented.join("\n");
          markdownTextarea.setRangeText(newBlock, lineStart, lineEnd, "end");
          markdownTextarea.selectionStart = Math.max(lineStart, selStart - removedFirst);
          markdownTextarea.selectionEnd = Math.max(markdownTextarea.selectionStart, selEnd - removedTotal);
          debouncedInputHandler();
        }
      }
      const cleanupOrphanedImageRefs = () => {
        const contentValue = markdownTextarea.value;
        const usedRefs = /* @__PURE__ */ new Set();
        const referenceRegex = /!\[.*?\]\[(image-ref-\d+)\]/g;
        let match;
        while ((match = referenceRegex.exec(contentValue)) !== null) {
          usedRefs.add(match[1]);
        }
        const currentDefsContent = definitionsText.replace(DEFINITIONS_HEADER, "").replace(DEFINITIONS_FOOTER, "").trim();
        if (!currentDefsContent) return false;
        const defLines = currentDefsContent.split("\n");
        const keptDefLines = defLines.filter((line) => {
          const defMatch = line.match(/^\[(image-ref-\d+)\]:/);
          return !defMatch || usedRefs.has(defMatch[1]);
        });
        const newDefsContent = keptDefLines.join("\n");
        if (newDefsContent !== currentDefsContent) {
          if (newDefsContent) {
            definitionsText = `${DEFINITIONS_HEADER}
${newDefsContent}
${DEFINITIONS_FOOTER}`;
          } else {
            definitionsText = "";
          }
          return true;
        }
        return false;
      };
      let cleanupPending = false;
      const requestCleanup = () => {
        if (cleanupPending) return;
        cleanupPending = true;
        runIdle(() => {
          cleanupPending = false;
          const changed = cleanupOrphanedImageRefs();
          if (changed) {
            // ここで “今の定義” をホストへ即時フラッシュ
            // (無限ループにならないよう isInternallyUpdating を適切に使う)
            const selStart = markdownTextarea.selectionStart;
            const selEnd   = markdownTextarea.selectionEnd;
            isInternallyUpdating = true;
            nativeTextareaSetter.call(originalTextarea, getFullContent());
            originalTextarea.dispatchEvent(new Event('input', { bubbles: true, composed: true }));
            isInternallyUpdating = false;
            // キャレット位置を維持
            markdownTextarea.selectionStart = selStart;
            markdownTextarea.selectionEnd   = selEnd;
          }
        });
      };
      function updateLockdownUI(noteLen) {
        const shouldLock = noteLen >= LOCKDOWN_THRESHOLD;
        isLockdown = shouldLock;
        if (shouldLock) {
          splitButton.disabled = true;
          previewButton.disabled = true;
          splitButton.title = T.lockdownMsg;
          previewButton.title = T.lockdownMsg;
          lockdownIndicator.style.display = "inline-block";
        } else {
          if (splitButton.disabled || previewButton.disabled) {
            splitButton.disabled = false;
            previewButton.disabled = false;
            splitButton.removeAttribute("title");
            previewButton.removeAttribute("title");
            lockdownIndicator.style.display = "none";
          }
        }
      }
      const handleInput = () => {
        requestCleanup();
        isInternallyUpdating = true;
        nativeTextareaSetter.call(originalTextarea, getFullContent());
        originalTextarea.dispatchEvent(new Event("input", { bubbles: true, composed: true }));
        updateLockdownUI(markdownTextarea.value.length);
        if (!isLockdown && (container.classList.contains("mode-split") || container.classList.contains("mode-preview"))) {
          const len = markdownTextarea.value.length;
          if (len >= HEAVY_NOTE_THRESHOLD) {
            setTimeout(() => {
              if (!isLockdown) updatePreview();
            }, 500);
          } else {
            debouncedUpdatePreview();
          }
        }
        requestAnimationFrame(() => {
          isInternallyUpdating = false;
        });
      };
      debouncedInputHandler = debounce(handleInput, 300);
      markdownTextarea.addEventListener("input", debouncedInputHandler);
      const observer = new MutationObserver(() => {
        if (isInternallyUpdating) return;
        isInternallyUpdating = true;
        extractAndSetContent(originalTextarea.value);
        updateLockdownUI(markdownTextarea.value.length);
        if (!isLockdown && (container.classList.contains("mode-split") || container.classList.contains("mode-preview"))) {
          updatePreview();
        }
        requestAnimationFrame(() => {
          isInternallyUpdating = false;
        });
      });
      observer.observe(originalTextarea, {
        attributes: true,
        childList: true,
        subtree: true,
        characterData: true
      });
      let scrollRequest;
      const handleScroll = (source, target) => {
        if (source.isSyncing) {
          source.isSyncing = false;
          return;
        }
        cancelAnimationFrame(scrollRequest);
        scrollRequest = requestAnimationFrame(() => {
          const sourceScrollableDist = source.scrollHeight - source.clientHeight;
          if (sourceScrollableDist <= 0) return;
          const scrollRatio = source.scrollTop / sourceScrollableDist;
          const targetScrollableDist = target.scrollHeight - target.clientHeight;
          target.isSyncing = true;
          target.scrollTop = scrollRatio * targetScrollableDist;
        });
      };
      const onEditorScroll = () => handleScroll(markdownTextarea, previewContainer);
      const onPreviewScroll = () => handleScroll(previewContainer, markdownTextarea);
      const modeButtons = { editor: editorButton, split: splitButton, preview: previewButton };
      const switchMode = (mode, shouldFocus = true, temporary = false) => {
        if (isLockdown && mode !== "editor") {
          mode = "editor";
        }
        container.classList.remove("mode-editor", "mode-split", "mode-preview");
        container.classList.add(`mode-${mode}`);
        Object.values(modeButtons).forEach((btn) => btn.classList.remove("active"));
        modeButtons[mode].classList.add("active");
        if (!temporary) {
          localStorage.setItem(STORAGE_KEY_MODE, mode);
        }
        editorButton.setAttribute("aria-pressed", String(mode === "editor"));
        splitButton.setAttribute("aria-pressed", String(mode === "split"));
        previewButton.setAttribute("aria-pressed", String(mode === "preview"));
        markdownTextarea.removeEventListener("scroll", onEditorScroll);
        previewContainer.removeEventListener("scroll", onPreviewScroll);
        if (mode === "split") {
          markdownTextarea.addEventListener("scroll", onEditorScroll, { passive: true });
          previewContainer.addEventListener("scroll", onPreviewScroll, { passive: true });
        }
        if (!isLockdown && (mode === "preview" || mode === "split")) {
          updatePreview();
        }
        if (shouldFocus && mode !== "preview") {
          markdownTextarea.focus();
        }
        updateHeadingSelector();
      };
      editorButton.addEventListener("click", () => switchMode("editor"));
      splitButton.addEventListener("click", () => switchMode("split"));
      previewButton.addEventListener("click", () => switchMode("preview"));
      const toggleToolbar = (visible) => {
        container.classList.toggle("toolbar-hidden", !visible);
        toolbarToggleButton.classList.toggle("active", visible);
        localStorage.setItem(STORAGE_KEY_TOOLBAR_VISIBLE, visible);
      };
      toolbarToggleButton.addEventListener("click", () => {
        const isVisible = container.classList.contains("toolbar-hidden");
        toggleToolbar(isVisible);
      });
      const handlePrint = () => {
        const printContainer = document.createElement("div");
        printContainer.className = "print-container";
        if (container.classList.contains("mode-editor") || isLockdown) {
          const pre = document.createElement("pre");
          pre.className = "raw-text-print";
          pre.textContent = markdownTextarea.value;
          printContainer.appendChild(pre);
        } else {
          const printContent = document.createElement("div");
          printContent.className = "print-content";
          const printStyle = document.createElement("style");
          printStyle.textContent = SCOPED_PREVIEW_STYLES.replace(new RegExp(`\\.${PREVIEW_CONTAINER_CLASS}`, "g"), "");
          printContent.innerHTML = previewContainer.innerHTML;
          printContainer.append(printStyle, printContent);
        }
        document.body.appendChild(printContainer);
        window.print();
        document.body.removeChild(printContainer);
      };
      printButton.addEventListener("click", handlePrint);
      const teardown = () => {
        if (destroyed) return;
        destroyed = true;
        if (splitterInstance && splitterInstance.destroy) {
          splitterInstance.destroy();
        }
        markdownTextarea.removeEventListener("input", debouncedInputHandler);
        markdownTextarea.removeEventListener("click", handleEditorClick);
        markdownTextarea.removeEventListener("paste", handlePaste);
        markdownTextarea.removeEventListener("scroll", onEditorScroll);
        previewContainer.removeEventListener("scroll", onPreviewScroll);
        markdownTextarea.removeEventListener("keydown", keydownHandler);
        if (container && container.parentNode) {
          container.parentNode.removeChild(container);
        }
        document.querySelectorAll('[data-sn-markdown-hidden-by-enhancer="1"]').forEach((el) => {
          el.classList.remove("sn-markdown-hidden", "sn-markdown-full-height");
          delete el.dataset.snMarkdownHiddenByEnhancer;
        });
        if (observeChunkCodes && observeChunkCodes.cleanup) {
          observeChunkCodes.cleanup();
        }
        if (observer) observer.disconnect();
        window.removeEventListener("beforeunload", teardown);
      };
      activeEditorInstance = {
        textarea: markdownTextarea,
        switchMode,
        applyBold: () => applyMarkdown(markdownTextarea, "**", "**", T.boldPlaceholder),
        applyItalic: () => applyMarkdown(markdownTextarea, "*", "*", T.italicPlaceholder),
        handlePrint,
        teardown
      };
      const keydownHandler = (e) => {
        if (e.isComposing && !(e.metaKey || e.ctrlKey)) return;
        if ((e.metaKey || e.ctrlKey) && !e.shiftKey && !e.altKey) {
          const k = e.key.toLowerCase();
          if (k === "b") {
            e.preventDefault();
            e.stopPropagation();
            e.stopImmediatePropagation();
            activeEditorInstance.applyBold();
            return;
          }
          if (k === "i") {
            e.preventDefault();
            e.stopPropagation();
            e.stopImmediatePropagation();
            activeEditorInstance.applyItalic();
            return;
          }
        }
        if (e.key === "Enter" && !e.shiftKey && !e.ctrlKey && !e.metaKey) {
          handleEnterKey(e);
          return;
        }
        if (e.key === "Tab") {
          handleTabKey(e);
          return;
        }
      };
      markdownTextarea.addEventListener("keydown", keydownHandler);
      extractAndSetContent(originalTextarea.value);
      const initialToolbarVisible = localStorage.getItem(STORAGE_KEY_TOOLBAR_VISIBLE) !== "false";
      toggleToolbar(initialToolbarVisible);
      updateLockdownUI(markdownTextarea.value.length);
      const savedMode = localStorage.getItem(STORAGE_KEY_MODE) || "split";
      const targetMode = isLockdown ? "editor" : savedMode;
      const isTemporary = isLockdown;
      switchMode(targetMode, !!isNewNoteSetup, isTemporary);
      console.log(`Markdown Editor for Standard Notes (v${GM_info && GM_info.script && GM_info.script.version || "unknown"}, Stream+Lockdown+Hardened Edition) initialized.`);
      if (isNewNoteSetup) {
        console.log("New note detected, focusing editor.");
      }
      window.addEventListener("beforeunload", teardown);
    }
    function initiateEditorSetup(editor, attempts = 0) {
      const MAX_ATTEMPTS = 40;
      const RETRY_INTERVAL = 50;
      if (!editor.isConnected) {
        console.log("Markdown Editor: Polling stopped. Editor was detached from DOM during initialization.");
        return;
      }
      if (editor.value || attempts > 5) {
        const isNewNote = !editor.value && attempts > 5;
        setupMarkdownEditor(editor, isNewNote);
      } else if (attempts < MAX_ATTEMPTS) {
        setTimeout(() => initiateEditorSetup(editor, attempts + 1), RETRY_INTERVAL);
      } else {
        console.warn(`Editor content loading timed out. Forcing setup with empty state.`);
        setupMarkdownEditor(editor, true);
      }
    }
    const mainObserver = new MutationObserver((mutations) => {
      let editorNeedsSetup = false;
      let editorInstance = null;
      for (const mutation of mutations) {
        if (mutation.type === "childList" && mutation.addedNodes.length > 0) {
          for (const node of mutation.addedNodes) {
            if (node.nodeType !== Node.ELEMENT_NODE) continue;
            const editor = node.matches("#note-text-editor") ? node : node.querySelector("#note-text-editor");
            if (editor && !editor.dataset.markdownReady) {
              editorNeedsSetup = true;
              editorInstance = editor;
            }
          }
        }
      }
      if (editorNeedsSetup) {
        if (activeEditorInstance?.teardown) {
          try {
            activeEditorInstance.teardown();
          } catch (_2) {
          }
        }
        const oldCustomEditor = document.querySelector(".markdown-editor-container");
        if (oldCustomEditor) oldCustomEditor.remove();
        initiateEditorSetup(editorInstance);
      }
      setupTitleEnterListener();
      const customEditor = document.querySelector(".markdown-editor-container");
      if (customEditor && !document.querySelector("#note-text-editor")) {
        if (activeEditorInstance?.teardown) {
          try {
            activeEditorInstance.teardown();
          } catch (_2) {
          }
        }
        activeEditorInstance = null;
      }
    });
    mainObserver.observe(document.body, { childList: true, subtree: true });
    window.addEventListener("beforeunload", () => {
      try {
        mainObserver.disconnect();
      } catch (_2) {
      }
    });
    const initial = document.querySelector("#note-text-editor");
    if (initial && !initial.dataset.markdownReady) initiateEditorSetup(initial);
    setupTitleEnterListener();
  })();
})();
/*! Bundled license information:

dompurify/dist/purify.es.mjs:
  (*! @license DOMPurify 3.2.6 | (c) Cure53 and other contributors | Released under the Apache license 2.0 and Mozilla Public License 2.0 | github.com/cure53/DOMPurify/blob/3.2.6/LICENSE *)
*/